0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

为何使用FPGA作为FPS游戏的“DMA”桥梁?

OpenFPGA 来源:OpenFPGA 2023-11-09 14:48 次阅读

最近,CF中某些主播“开(下称KG)挂”升上热搜,作为十年老兵,瓜是吃的饱饱的。之后官方下场进行检测,但是没有检测到软件KG行为,而是检测到主播有硬件设备异常情况。关于事件的来龙去脉就不过多赘述了,大家可以去某些社区吃瓜,我们今天只谈技术,不吃瓜。

088ce490-7eaf-11ee-939d-92fbcf53809c.png

现在已经是3202年了,软件KG已经是过去式了,因为可以检测到电脑中的数据异常,所以游戏官方对于这种G是一查一个准。现在的高级G都是硬件G,而硬件G中一个最常见的就是FPGA做的DMA G。

原理

使用FPGA进行DMA(Direct Memory Access,直接内存访问)作弊原理:主要是通过FPGA直接访问计算机内存中的数据,可以进行数据修改(血量,金币等),或者将数据导出来到其他设备上显示(对手位置)。那为什么要使用FPGA进行操作呢?

主要原因就是FPGA灵活,这种行业就是灰色产业,不会有专用的ASIC(未来可能有),所以FPGA的优势就体现出来了;二是驱动易改,可以模拟电脑中的网卡、GPU等,这样即使官方查到,最多就是硬件设备异常,不会直接查到KG的证据。

08a7f5dc-7eaf-11ee-939d-92fbcf53809c.png

自己做一个?

这种灰色产业,国内肯定不会直接出来卖的,但是出于学习的目的,我们今天看一个项目:

08b4a99e-7eaf-11ee-939d-92fbcf53809c.png

PCILeech FPGA 项目包含用于基于 FPGA 的设备的软件和 HDL 代码(还有硬件),可与PCILeech 直接内存访问 (DMA) 攻击工具包和MemProcFS - 内存处理文件系统一起使用。使用基于 FPGA 的设备具有许多优势:其一是基于 FPGA 的硬件提供对 64 位内存空间的访问,而无需依赖目标系统上运行的内核;其二是基于 FPGA 的设备也更加稳定。基于 FPGA 的设备还可以发送原始 PCIe 事务层数据包 TLP - 允许进行更专业的研究。

支持的设备:

目前该项目支持的硬件(大部分硬件开源):

设备 接口 传输速度 PCIe版本
Screamer PCIe Squirrel USB-C 180 MB/s PCIe gen2 x1
LeetDMA USB-C 180 MB/s PCIe gen2 x1
Enigma X1 USB-C 200 MB/s PCIe gen2 x1
PCIeScreamerR04 USB-C 180 MB/s PCIe gen2 x4*
ScreamerM2 USB3/USB-C 180 MB/s PCIe gen2 x4*
PCIeScreamer USB3 100 MB/s PCIe gen2 x1
AC701/FT601 USB3 150 MB/s PCIe gen2 x4*
SP605/FT601 USB3 75 MB/s PCIe gen1 x1
Acorn/FT2232H USB2 25 MB/s PCIe gen2 x4*
NeTV2 UDP/IP 7 MB/s PCIe gen2 x4*
SP605/TCP TCP/IP 100kB/s PCIe gen1 x1

*) PCILeech FPGA 只使用 PCIe x1,即使硬件方面有更多 PCIe 通道可用。因为x1就足以提供我们需要的性能。

请从上面的列表中选择最适合需求的 FPGA 硬件。如果性能是关键,目前建议使用 Screamer 系列、Enigma X1 或 AC701。

这个项目是基于上面项目进行延伸的,使用的是NETV2(硬件地址:https://github.com/AlphamaxMedia/netv2-mainboard),获取DMA数据后标记敌人的位置,然后与输入的HDMI数据叠加,最后HDMI输出画面就是游戏画面和标记的敌人画面叠加的画面。







审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21326

    浏览量

    593242
  • FPS
    FPS
    +关注

    关注

    0

    文章

    34

    浏览量

    11865
  • dma
    dma
    +关注

    关注

    3

    文章

    535

    浏览量

    99047

原文标题:“不会被封的外挂”,为何使用FPGA作为FPS游戏的“DMA”桥梁

文章出处:【微信号:Open_FPGA,微信公众号:OpenFPGA】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Steam游戏商城Beta版修复Linux相关问题

    首先是远程游戏方面的提升,此次已经修正了流媒体控制台在用户快速切换游戏时“停止”按钮卡顿的现象。同时,还修复了当游戏帧率超过 120FPS 时分辩率降低的问题。
    的头像 发表于 04-23 11:00 246次阅读

    桥梁结构安全监测方案,守护每座桥梁安全!

    21世纪以来,在国家经济快速发展的推动下,中国桥梁以每年3万多座的速度递增,建设了以沪苏通长江公铁大桥、平潭海峡大桥、杨泗港长江大桥、五峰山长江大桥等一大批创造世界纪录的大桥。桥梁作为城市的重要
    的头像 发表于 04-03 16:29 134次阅读
    <b class='flag-5'>桥梁</b>结构安全监测方案,守护每座<b class='flag-5'>桥梁</b>安全!

    桥梁结构安全监测方案,护航桥梁安全!

    桥梁作为城市生命线的重要组成部分,承载着城市交通、物流输送、应急救援等重要职能。然而,随着我国社会经济的飞速发展,桥梁所承载的交通流量逐年增长,其安全性所面临的挑战亦日益严峻。例如恶劣的外部环境
    的头像 发表于 03-13 15:19 181次阅读
    <b class='flag-5'>桥梁</b>结构安全监测方案,护航<b class='flag-5'>桥梁</b>安全!

    振弦采集仪在桥梁健康监测中的应用与分析

    振弦采集仪在桥梁健康监测中的应用与分析 振弦采集仪是一种专门用于桥梁健康监测的设备,通过对桥梁结构的振动信号进行采集和分析,可以实时监测桥梁的结构健康状况并提前预警潜在问题。 振弦采集
    的头像 发表于 01-23 14:05 164次阅读
    振弦采集仪在<b class='flag-5'>桥梁</b>健康监测中的应用与分析

    基于FPGA乒乓球比赛游戏机的设计

    电子发烧友网站提供《基于FPGA乒乓球比赛游戏机的设计.pdf》资料免费下载
    发表于 10-20 10:31 1次下载
    基于<b class='flag-5'>FPGA</b>乒乓球比赛<b class='flag-5'>游戏</b>机的设计

    Altera FPGA内置的乘法器为何是18位的?

    Altera的FPGA内置的乘法器为何是18位的?
    发表于 10-18 07:01

    才茂桥梁结构安全监测,全程把脉桥梁健康

    我国是桥梁大国,各式各样的桥梁跨越了山川和平原、渡过了江河与大海,为人们的生活带来便利,也创造了巨大的社会效益。然而,据相关数据统计,我国桥梁总数40%超过25年以上,进入了“老龄”桥梁
    的头像 发表于 09-14 14:51 387次阅读
    才茂<b class='flag-5'>桥梁</b>结构安全监测,全程把脉<b class='flag-5'>桥梁</b>健康

    VR桥梁远程巡检: 实现智能化桥梁监控

    在过去的几年中,虚拟现实(VR)技术已经在多个领域得到了广泛的应用。其中,桥梁巡检是一个特别有前景的应用领域。利用VR技术,我们可以创建一个安全、高效的桥梁巡检方式,帮助工程师和维护人员更好地理
    的头像 发表于 09-12 23:28 284次阅读
    VR<b class='flag-5'>桥梁</b>远程巡检: 实现智能化<b class='flag-5'>桥梁</b>监控

    基于FPGA的2048小游戏实现案例

    这周末调试《车牌识别算法》遇到点问题,“无聊”中用FPGA搞个2048小游戏玩玩。
    的头像 发表于 09-08 10:01 854次阅读
    基于<b class='flag-5'>FPGA</b>的2048小<b class='flag-5'>游戏</b>实现案例

    智能桥梁监测网关为城市桥梁安全保驾护航

    已对现场进行双向管制。 作为世界第一桥梁大国,目前我国公路桥梁数量超过100万座,铁路桥梁数量超过30万座,随着桥梁的长期使用,后期的养护和
    的头像 发表于 07-21 17:30 314次阅读
    智能<b class='flag-5'>桥梁</b>监测网关为城市<b class='flag-5'>桥梁</b>安全保驾护航

    基于FPGA的弹球游戏

    在从事FPGA这个行业之后,在公司做的基本上都是一些“高端”的项目,像这种游戏样的“玩具”,基本上没有哪个公司会拿FPGA去做,杀鸡焉用牛刀,毕竟用个低成本单片机能干的活何必要用FPGA
    发表于 07-19 10:54 605次阅读

    PwFPGA第5部分 - DE0 Nano上的乒乓游戏

    电子发烧友网站提供《PwFPGA第5部分 - DE0 Nano上的乒乓游戏.zip》资料免费下载
    发表于 06-27 14:58 0次下载
    Pw<b class='flag-5'>FPGA</b>第5部分 - DE0 Nano上的乒乓<b class='flag-5'>游戏</b>

    用于FPGA的赌场掷骰子游戏

    电子发烧友网站提供《用于FPGA的赌场掷骰子游戏.zip》资料免费下载
    发表于 06-15 14:23 3次下载
    用于<b class='flag-5'>FPGA</b>的赌场掷骰子<b class='flag-5'>游戏</b>

    快来解锁一个超强的游戏天赋

    好的声音体验在游戏中尤为重要,FPS(第一人称射击)类游戏中的听声辨位,RPG(角色扮演)类游戏中的心跳感,MOBA(多人在线战术竞技)类游戏
    的头像 发表于 06-09 20:10 247次阅读
    快来解锁一个超强的<b class='flag-5'>游戏</b>天赋

    10行代码,就能让你真正理解DMA

    dma
    YS YYDS
    发布于 :2023年05月22日 15:44:18