0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于FPGA的以太网ARP通信测试(一)

CHANBAEK 来源: FPGA Zone 作者: FPGA Zone 2023-11-06 18:20 次阅读

主机与目的主机进行以太网通信,需要知道目的主机的MAC地址(物理地址),以太网ARP通信协议就是用来获取目的主机MAC地址的。

ARP协议

ARP(Address Resolution Protocol),即地址解析协议,是根据IP地址(逻辑地址)获取MAC地址的一种TCP/IP协议。

MAC地址在网络中表示网卡的ID,每个网卡都需要并有且仅有一个MAC地址。源主机知道目的主机的IP地址,却不知道目的主机的MAC地址。而目的主机的MAC地址直接被网卡接收和解析,当解析到目的MAC地址非本地MAC地址时,则直接丢弃该包数据,因此在通信前需要先获得目的的MAC地址。

ARP协议的基本功能就是通过目的设备的IP地址,查询目的设备的MAC地址,以保证通信的顺利进行。

在获取到目的MAC地址之后,将目的MAC地址更新至ARP缓存表中,下次通信时,可以直接从ARP缓存表中获取,而不用重新获取。但ARP缓存表会有过期时间,过期后需要重新通过ARP协议进行获取。

协议格式

ARP协议属于TCP/IP协议簇的一种,位于以太网MAC帧格式的数据段,ARP数据包格式如下图所示。

图片

硬件类型 (Hardware type):硬件地址的类型,1表示以太网;

协议类型 (Protocol type):要映射的协议地址类型,ARP协议的上层协议为IP协议,因此该协议类型为IP协议,其值为0x0800;

硬件地址长度 (Hardware size):硬件地址(MAC地址)的长度,以字节为单位。对于以太网上IP地址的ARP请求或者应答来说,该值为6;

协议地址长度 (Protocol size):IP地址的长度,以字节为单位。对于以太网上IP地址的ARP请求或者应答来说,该值为4;

OP (Opcode):操作码,用于表示该数据包为ARP请求或者ARP应答。1表示ARP请求,2表示ARP应答;

源MAC地址 :发送端的硬件地址;

源IP地址 :发送端的协议(IP)地址;

目的MAC地址 :接收端的硬件地址,在ARP请求时由于不知道接收端MAC地址,因此该字段为广播地址,即48’hff_ff_ff_ff_ff_ff;

目的IP地址 :接收端的协议(IP)地址。

ARP协议分为ARP请求和ARP应答,源主机发起查询目的MAC地址的报文称为ARP请求,目的主机响应源主机并发送包含本地MAC地址的报文称为ARP应答。

ARP请求

当源主机A需要获取目的主机B物理地址时,可以发送一个ARP请求报文,这个报文包含了主机A的MAC地址和IP地址以及主机B的IP地址。

因为主机A不知道主机B的物理地址,所以这个查询分组会在网络层中进行广播,即ARP请求时发送的接收方物理地址为广播地址,用48hff_ff_ff_ff_ff_ff表示。

主机A发起ARP请求,由于发送的目的MAC地址为广播地址,所以此时局域网中的所有主机都会进行接收并处理这个ARP请求报文,然后进行验证,查看接收方的IP地址是不是自己的地址。是则返回ARP应答报文,不是则不响应。

只有验证成功的主机B才会返回一个ARP应答报文,这个应答报文包含主机B的IP地址和物理地址。

ARP应答

主机B利用收到的ARP请求报文中的请求方物理地址,以单播的方式直接发送给主机A,主机A将收到的ARP应答报文中的目的MAC地址解析出来,将目的MAC地址和目的IP地址更新至ARP缓存表中。

当再次和主机A通信时,可以直接从ARP缓存表中获取,而不用重新发起ARP请求报文。

但是ARP缓存表中的表项有过期时间(一般为20分钟),过期之后,需要重新发起ARP请求以获取目的MAC地址。

以太网协议

ARP协议通过以太网进行传输,因此需要满足以太网通信协议所规定的格式。如下图所示,以太网的数据包就是通过对协议的封装来实现数据的传输,即ARP数据位于以太网帧格式的数据段。

图片

28字节的ARP数据位于以太网帧格式的数据段。由于以太网数据段最少为46个字节,而ARP数据包总长度为28个字节,因此在ARP数据段后面需要填充18个字节的数据,以满足以太网传输格式的要求。这个填充的过程称为Padding,填充的数据可以为任意值,但一般为0。

图片

以太网协议具体内容如上图所示,其中:

前导码 (Preamble):为了实现底层数据的正确阐述,物理层使用7个字节同步码(0和1交替(55-55-55-55-55-55-55))实现数据的同步;

帧起始界定符 (SFD,Start Frame Delimiter):使用1个字节的SFD(固定值为0xd5)来表示一帧的开始,即后面紧跟着传输的就是以太网的帧头;

目的MAC地址 :即接收端物理MAC地址,占用6个字节;

源MAC地址 :即发送端物理MAC地址,占用6个字节;

长度/类型 :上图中的长度/类型具有两个意义,当这两个字节的值小于1536(十六进制为 0x0600)时,代表该以太网中数据段的长度;如果这两个字节的值大于1536,则表示该以太网中的数据属于哪个上层协议;

数据 :以太网中的数据段长度最小46个字节,最大1500个字节;

帧检验序列 (FCS,Frame Check Sequence):为了确保数据的正确传输,在数据的尾部加入了4个字节的循环冗余校验码(CRC校验)来检测数据是否传输错误。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593199
  • 以太网
    +关注

    关注

    40

    文章

    5076

    浏览量

    166235
  • 通信协议
    +关注

    关注

    28

    文章

    737

    浏览量

    39745
  • Mac
    Mac
    +关注

    关注

    0

    文章

    1067

    浏览量

    50841
  • ARP
    ARP
    +关注

    关注

    0

    文章

    50

    浏览量

    14651
收藏 人收藏

    评论

    相关推荐

    基于FPGA以太网ARP通信测试(二)

    本文继续简单介绍一下基于FPGA以太网ARP通信,该项目主要用于实现FPGA以太网接口和上位
    的头像 发表于 11-06 18:26 732次阅读
    基于<b class='flag-5'>FPGA</b>的<b class='flag-5'>以太网</b><b class='flag-5'>ARP</b><b class='flag-5'>通信</b><b class='flag-5'>测试</b>(二)

    车载以太网开发及测试设备#车载以太网

    以太网测试设备车载以太网
    北汇信息POLELINK
    发布于 :2022年11月10日 08:29:22

    车载以太网测试系统测试实例介绍#车载以太网

    车载以太网
    北汇信息POLELINK
    发布于 :2023年09月12日 17:27:48

    基于FPGA的万兆以太网接口的设计与实现

    基于FPGA的万兆以太网接口的设计与实现标准中万兆以太网物理层及媒质接入控制子层的相关协议以 应用物理环境为例,阐述了万兆以太网接口各个单元模块的功能和设计实现方法 仿真结果表明,该万
    发表于 08-11 15:48

    PC与FPGA以太网通信

    我现在在做FPGA和PC之间的以太网通信,PC和FPGA用交叉线直连,板子上的以太网phy芯片是88e1111,以太网是千兆的,RGMII接
    发表于 07-05 21:37

    【小梅哥2017力作】详细的FPGA以太网设计教程,76页精华PDF

    ... 3第1章 基于RTL8201的以太网UDP通信测试... 4第2章 以太网MAC层基本原理... 12MII 接口介绍:... 12以太网
    发表于 07-29 23:20

    【AC620 FPGA试用体验】以太网ARP帧发包实例(手动CRC)

    基于AC620开发板上的以太网接口,设计个能够发送ARP帧的FPGA系统。其中以太网包和ARP
    发表于 08-26 12:56

    简谈基于FPGA的千兆以太网

    大家好,又到了学习时间了,学习使人快乐。今天我们来简单的聊以太网以太网FPGA学习中属于比较高级的内容了,有些同学肯定会感觉以太网
    发表于 02-03 15:11

    基于FPGA和W5500的以太网传输系统实现

    的数据发送和接收功能的实现。图 9 W5500功能实测结果图3 结语本系统实现了基于FPGA的,采用嵌入式以太网W5500芯片以硬件形式实现的TCP/IP协议栈,提高了CPU的处理效率,并且使得通信速率在很大程度上得到了提高,为
    发表于 08-07 10:10

    【正点原子FPGA连载】第二十五章以太网ARP测试实验-领航者ZYNQ之FPGA开发指南

    原子公众号,获取最新资料第二十五章以太网ARP测试实验在以太网中,个主机和另个主机进行
    发表于 09-29 18:15

    【正点原子FPGA连载】第二十六章以太网UDP测试实验-领航者ZYNQ之FPGA开发指南

    本章实验任务画出的系统框图。和“以太网ARP测试实验”相比,将ARP控制模块替换成了以太网控制模块,并增加了
    发表于 09-29 18:17

    FPGA DEMO】Lab 10:千兆以太网

    ` 本帖最后由 zhangyue510 于 2021-7-30 16:31 编辑 项目名称:千兆以太网具体要求:通过千兆以太网完成数据传输。在设计中使用了高速口转2.54接口的转接板,详细设计如
    发表于 07-30 16:10

    基于BL706 emac实现通过以太网发送ARP裸数据包的例程

    本 demo 基于 BL706 emac 实现通过以太网发送ARP 裸数据包的例程,通过本例程可以确认 emac 以及 PHY 芯片的配置是否正确。以太网 PHY 芯片这里
    发表于 06-17 17:40

    简谈基于FPGA的千兆以太网设计

    大侠带来简谈基于FPGA的千兆以太网设计,话不多说,上货。今天我们来简单的聊以太网以太网FPGA
    发表于 06-01 18:39

    基于FPGA的千兆以太网ARP和UDP的实现

    其他协议报头的数据包(例如IP协议、ARP协议)。以太帧由一个32位冗余校验码结尾。它用于检验数据传输是否出现损坏。以太网帧格式如下图所示。 1.前导码和帧开始符是固定的,为7个0x55紧跟着1个0xd5 2.目的MAC地址指明
    的头像 发表于 02-16 16:35 1906次阅读