0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

什么是Logic Synthesis?Synthesis的流程

路科验证 来源:老虎说芯 2023-10-24 15:56 次阅读

什么是Logic Synthesis?Logic Synthesis用于将输入的高级语言描述(如HDL、verilog)转换为门级电路的网络表示。在这一阶段,我们的目标是根据设计规范和约束,生成满足性能目标和面积要求的优化电路。

Synthesis = translation + optimization + mapping

7d7b69ae-7241-11ee-939d-92fbcf53809c.png

图片来源:NTU

Synthesis的目标:通过Logic Synthesis,我们能够实现以下目标:

最小化电路的面积:在满足性能需求的前提下,尽可能减少电路所占用的芯片面积,以降低成本。

最大化电路的性能:通过优化电路结构和逻辑功能,最大化电路的速度。这涉及到对组合逻辑的优化、时序路径的优化等。

Synthesis的流程

7d84f00a-7241-11ee-939d-92fbcf53809c.png

图片来源:vlsiguru

a. 输入文件准备:这包括HDL文件和库文件的准备。HDL文件是我们的设计描述,而库文件包含了各种逻辑元素和其性能特征。通过阅读这些文件,我们可以了解电路的功能和特性。

b. 硬件约束条件:我们需要指定一些约束条件,比如时序要求、时钟频率等,以确保电路性能的正确性和稳定性。

c. Logic Synthesis:逻辑综合工具将HDL文件转换为门级网表。在这一步中,综合工具会尽可能地进行逻辑和结构优化,以满足性能和面积要求。

d. 优化和时序分析:在这个阶段,我们将通过优化技术来改善电路的性能,并进行时序分析,以确保电路满足预定的时序要求。

e. 报告和生成文件:最后,我们会生成各种报告,以便评估电路的性能和优化效果,并生成相应的文件,如门级网表、时序约束文件等。

Synthesis的输入输出:Logic Synthesis的输入包括HDL文件和库文件。HDL文件是我们的设计描述,可以是Verilog、VHDL等。库文件则包含了电路元件的特性和延时信息。输出是逻辑综合工具生成的门级网表和其他辅助文件。

HDL文件和库文件:HDL文件是我们设计的描述,它类似于编程语言,用于描述电路的逻辑功能和结构。而库文件则包含了各种逻辑元素和其特性,如AND、OR门和其传输延时。

Constraints条件:在Logic Synthesis中,我们可以指定一些约束条件,以确保电路满足性能要求和时序要求。这些约束条件可以包括时钟频率、时序限制、电源噪声约束等。

Timing paths(时序路径):电路中的信号传输路径,通过时钟边沿的逻辑延时。在数字电路中,信号需要从一个逻辑块传输到另一个逻辑块,而这些传输路径的延时就是时序路径。可以类比为交通路线,信号就像车辆一样在路线上行驶,而时序路径则是车辆所需的时间,取决于路况和速度。

Timing Constraints(时序约束):指定电路中某些信号的最大或最小延时要求。时序约束是为了确保电路的工作时间满足设计要求而设定的条件。类比为一个人规定的时间表,确保不同的任务在规定的时间内完成。比如,你有一个任务需要在10分钟内完成,那么时序约束就是告诉你必须在10分钟内完成,否则会超时。

IO Timing(输入/输出时序):确保输入和输出信号满足指定的时序要求。这是指输入和输出信号在电路中所需的时间。它确保输入信号正确地经过电路处理,并且输出信号在预期的时间内提供给其他组件使用。可以类比为快递服务,你将包裹寄出后,需要在规定的时间内送到收件人手中,以确保准时送达。

Area(面积):电路所占用的芯片表面积。在电路设计中,面积指的是电路所占用的芯片表面积。可以类比为房子的占地面积,一间房子占地越大,那么整个房子所需要的土地就越多。同样,如果一个电路所需的面积越大,那么芯片的尺寸就会增加。

Combinational Delay(组合延时):组合逻辑电路的延时,即输入到输出的传输延时。在数字电路中,组合延时是指信号从输入到输出经过组合逻辑电路所需要的时间。可以类比为做饭的时间,将食材放入锅中后,需要经过一定的时间才能出锅。同样,将输入信号输入到组合逻辑电路中,经过一定的时间,输出信号才会出现。

设计环境、编译策略和优化技术:在Logic Synthesis中,我们需要设置适当的设计环境,选择合适的编译策略和优化技术来实现设计目标。这包括优化技术、边界优化、非组合元素优化等。

生成报告和文件:最后,我们会生成各种报告,如时序报告、面积报告等,以评估电路的性能和优化效果。我们还会生成相应的文件,如门级网表、时序约束文件等。

通过Logic Synthesis,我们能够将高级语言描述的设计转化为可以在芯片上实现的门级电路网络。通过了解Logic Synthesis的流程、输入输出、约束条件和优化技术,我们能够更好地应用这一技术,并对电路的性能进行分析和优化。







审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电源噪声
    +关注

    关注

    3

    文章

    140

    浏览量

    17345
  • 时序约束
    +关注

    关注

    1

    文章

    111

    浏览量

    13350
  • HDL语言
    +关注

    关注

    0

    文章

    46

    浏览量

    8869
  • 门级电路
    +关注

    关注

    0

    文章

    15

    浏览量

    1930
  • 时序路径
    +关注

    关注

    0

    文章

    12

    浏览量

    1371

原文标题:什么是Logic Synthesis?

文章出处:【微信号:Rocker-IC,微信公众号:路科验证】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    ADVANCED ASIC CHIP SYNTHESIS

    ADVANCED ASIC CHIP SYNTHESIS文件大小:16MUNIX is a registered trademark of UNIX Systems Laboratories
    发表于 12-18 11:16

    Verilog Synthesis Methodology

    Verilog Synthesis Methodology
    发表于 08-15 15:31

    /*synthesis atera_chip_lc=“@pin”*/注释里也能加pin脚约束吗

    新人求解,/*synthesis atera_chip_lc=“@pin”*/注释里也能加pin脚约束吗?
    发表于 12-10 12:49

    float array synthesis创建两个32位的存储器

    ],float zCoor [MAX_NO_POINTS],array2d edgeMatrix);当我在VIvado_hls中运行c Synthesis时,我得到以下结果:有人可以告诉我为什么合成为每个
    发表于 11-05 11:38

    IO Planning (PlanAhead) post-synthesis时报错

    各位大佬好:小弟刚刚接触FPGA验证,按照网上教程学习时,在RTL仿真结束,做UCF时,遇到如下问题:1. 如果双击IO Pin Planning - post-synthesis时,会报错,报错
    发表于 12-20 15:09

    Common 17-345找不到功能'Synthesis'和/或设备'xc7z020'的有效许可证

    [Common 17-345]找不到功能'Synthesis'和/或设备'xc7z020'的有效许可证。请运行Vivado许可证管理器以获取有关确定哪些功能和设备已获得系统许可的帮助。解决方案:在
    发表于 12-24 13:59

    【工程源码】【Modelsim常见问题】Analysis and Synthesis should be completed

    本文和设计代码由FPGA爱好者小梅哥编写,未经作者许可,本文仅允许网络论坛复制转载,且转载时请标明原作者。Analysis and Synthesis should be completed
    发表于 02-22 14:47

    Verilog HDL Synthesis (A Pract

    Verilog HDL Synthesis (A Practical Primer)
    发表于 02-12 09:36 40次下载
    Verilog HDL <b class='flag-5'>Synthesis</b> (A Pract

    Synthesis And Optimization Of

    Synthesis and Optimization4 Architectural-Level Synthesis and Optimization5 Scheduling Algorithms6 Resource Shari
    发表于 07-23 08:55 13次下载

    Digital Frequency Synthesis Demystified

    Digital Frequency Synthesis Demystified: This text deals with emerging modern digital
    发表于 07-25 17:08 0次下载
    Digital Frequency <b class='flag-5'>Synthesis</b> Demystified

    Synthesis_Place_&_Route

    Synthesis Place & Route
    发表于 02-19 16:48 0次下载

    Getting Started with Vivado High-Level Synthesis

    Xilinx公司讲述:Getting Started with Vivado High-Level Synthesis
    的头像 发表于 06-04 13:47 3470次阅读
    Getting Started with Vivado High-Level <b class='flag-5'>Synthesis</b>

    高层次综合技术(High-level synthesis)的概念

    说起高层次综合技术(High-level synthesis)的概念,现在有很多初学者简单地把它理解为可以自动把c/c++之类地高级语言直接转换成底层硬件描述语言(RTL)的技术。其实更准确的表述是:由更高抽象度的行为描述生产电路的技术。
    的头像 发表于 02-08 17:26 7322次阅读
    高层次综合技术(High-level <b class='flag-5'>synthesis</b>)的概念

    Vivado Synthesis模块化的设计方法

    全局综合(Global Synthesis)全局综合意味着整个设计在一个Synthesis Design Run流程中完成,这样会带来几个好处。
    的头像 发表于 07-15 11:39 1562次阅读

    楼雄文&amp;张华彬Nature Synthesis评论:3D打印单原子催化剂

    近日,澳大利亚阿德莱德大学乔世璋教授等人在Nature Synthesis上发表了题为“A general approach to 3D-printed single atom catalysts”的文章。作者开发了一种3D打印策略,以高产率制备SACs。
    的头像 发表于 01-13 09:43 1163次阅读