0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Questasim与Visualizer的livesim仿真如何启动呢?

冬至子 来源:CSDN 作者:谷公子 2023-10-10 11:33 次阅读

1.前言

Live-Simulation (live-sim)模式允许Visualizer调试环境与Questasim进行交互操作,此模式将Visualizer GUI与Questasim仿真相结合,因此可以在线调试当前仿真的结果和波形。

在livesim模式中,可以在Visualizer GUI中控制仿真、设置断点(Breakpoints)、单步调试、检查记录或未记录的数据值、以及跟踪类对象。因此,在调试验证环境或RTL的问题时,livesim可以更有效率地定位问题。下文介绍下live-simulation模式如何启动以常用功能。

2.启动live-sim模式

Questasim要求使用3步仿真流程(vlog, vopt, vsim)来调用livesim模式下的Visualizer,而不是2步仿真流程(vlog, vsim+vopt)。

第一步:准备TB和RTL代码

这里简单创建名为livesim_example.sv的文件,它的内容是:

module livesim_example;  
    int a = 600;  
    int b = 66;  
    int c;  
    reg clk = 1'b0;
    initial begin
        c = a + b; 
        $display("result1: The sum of a(%0d) and b(%0d) is c(%0d)", a, b, c);  
        # 10ns;
        forever begin
            # 5ns;
            clk = ~clk;
            c   = c + 1;
            $display("The current c: %0d", c);
            # 5ns;
            c   = c + 2;
            $display("The current c: %0d", c);
        end
    end
endmodule

第二步:vlog命令编译

需要调用Questasim的vlog命令把代码先编译过,命令如下:

vlib work
vlog livesim_example.sv

这时候会生成livesim_example的top module。(注意,如果是VHDL文件的话,要使用vcom命令,而不是vlog)

第三步:vopt命令优化

需要调用Questasim的vopt命令把design优化下,命令如下:

vopt -debug livesim_example -o live_opt -designfile design.bin

这时候会生成名为live_opt的优化design名。

在优化过程中,必须的-designfile参数的作用是创建Visualizer所需的design数据库文件名称(design.bin),如果默认用design.bin名称的话,其实-designfile design.bin可以替换为+designfile,这样Questasim默认生成的bin文件名字为design.bin。必须的-o参数为design的优化版本指定一个名称,名称可以包含小写字母、大写字母、数字字符或下划线。可选的-debug参数限制优化以保证行号的可见性,这就可以在Visualizer的livesim模式下启用行breakpoints。其它需要的vopt参数大家可以根据需要添加。

第四步:vsim命令仿真

使用vsim命令开始仿真,命令如下:

vsim -visualizer live_opt

这里live_opt的名字就是第三步vopt命令-o参数生成的名字。vsim命令将design加载到仿真器中,然后将design.bin文件和仿真控制传递给Visualizer GUI。

在design elaboration过程中,仿真elaboration消息显示在启动shell中,并且也保存在visualizer.log文件中。如果elaboration有错误,则显示消息并退出仿真。如果elaboration成功,将调用Visualizer GUI。Visualizer GUI首先读取数据并连接到仿真器,当GUI准备好接受CLI命令时,在transcript窗口会出现” Visualizer 1>”。

只要完成了以上的几个步骤,就会出现以下界面,表示进入livesim模式了,也就是联合Questasim和Visualizer进行实时仿真调试。

image.png

3. livesim仿真常用功能

进入livesim模式后,就是使用Visualizer GUI界面去debug代码了,这里简单介绍几个常用功能,更多的用法大家可以去探索下。

3.1 变量值提示

当启用变量值提示(Value Tool Tip)功能后,记那个鼠标悬停在源代码、原理图和许多其它窗口中的对象上时,弹出的工具提示将显示该对象的值、大小和带有下划线 (_) 的基数前缀。此默认值格式化在仿真期间被记录。

不过通过vsim命令中指定+vis_no_format_num,可以禁用值格式化,只显示对象值。变量值提示(Value Tool Tip)启动和关闭按钮在下图红色箭头指向的地方。

image.png

3.2 Debugger菜单

在Debugger菜单下有运行、步进、重新启动、保存或恢复checkpoints点,以及使用C调试器。

image.png

3.3 工具栏的调试

在工具栏上也可以方便的控制仿真的进行。

image.png

3.4 设置breakpoint

右键代码的前方,会出现以下窗口,我们就可以设置一些breakpoint来辅助debug。

image.png

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真器
    +关注

    关注

    14

    文章

    988

    浏览量

    82998
  • RTL
    RTL
    +关注

    关注

    1

    文章

    377

    浏览量

    59077
  • GUI
    GUI
    +关注

    关注

    3

    文章

    611

    浏览量

    38812
  • VHDL电路
    +关注

    关注

    0

    文章

    4

    浏览量

    1381
收藏 人收藏

    评论

    相关推荐

    NCSIM、VCS和QuestaSim仿真如何屏蔽冗余的违例信息呢?

    在进行数字电路后仿真时,经常会遇到很多时序为例,通常这些违例都是由网表中大量的时序检查报出的。
    的头像 发表于 01-03 09:43 804次阅读

    Questasim软件安装破解教程

    Questasim和modelsim一样,是工业界最优秀的语言仿真器,它提供最友好的调试环境,是FPGA、ASIC设计的RTL级和门级电路仿真的首选工具。注意:可能有人会问Questasim
    发表于 05-22 17:16

    如何用questasim进行systemverilog仿真

    刚接触systemverilog,最近在采用questasim10.1版本进行仿真时,发现貌似questasim不支持扩展类的操作?代码如下:`timescale 1ns/1nsmodule
    发表于 04-11 09:44

    ISE设计,questasim仿真时库编译的问题

    设计中用到了GTP_DUAL,利用questasim仿真。Simulation时报错,说找不到GTP_DUAL_fast.v这个文件。在xilinx的安装目录下mti文件中搜索到
    发表于 08-30 16:04

    PROTOLINK PROBE VISUALIZER的优势

    —SpringSoft 今天发表ProtoLink™ Probe Visualizer,这款产品能够大幅提升设计能见度,同时简化 FPGA 原型板的侦错工作。新推出的 Probe Visualizer 采用创新
    发表于 07-06 17:52

    介绍一下波形仿真如何进行

    下载的仿真软件路径添加到location of executable中即可。 在设计FPGA时,要求我们有仿真的波形图,所以我们需要进行波形仿真,下面就介绍一下波形仿真如何进行。第一步
    发表于 01-19 08:05

    Proteus仿真如何将40110的7段数码管输出信号转换成BCD二进制信号

    Proteus仿真如何将40110的7段数码管输出信号转换成BCD二进制信号
    发表于 03-29 17:27

    求助,请问LTspice仿真如何读取PF值?

    LTspice仿真如何读取PF值?
    发表于 08-01 14:44

    questasim 6.5 (for xp/linux)

    questasim 6.5 (for xp/linux)
    发表于 04-01 00:17 192次下载
    <b class='flag-5'>questasim</b> 6.5 (for xp/linux)

    全新WEBENCH Visualizer设计工具

    全新WEBENCH Visualizer设计工具 美国国家半导体公司 (NS)宣布推出一套称为WEBENCH® Visualizer设计工具。凭借这套性能卓越的比较及选择工具,工程师能够迅速选择一款最理
    发表于 11-11 09:39 865次阅读

    ModelSim和QuestaSim功能简介及应用

    ModelSim和QuestaSim功能简介及应用 ModelSim是工业界最优秀的语言仿真器,它提供最友好的调试环境
    发表于 04-29 09:07 1.9w次阅读
    ModelSim和<b class='flag-5'>QuestaSim</b>功能简介及应用

    WEBENCH Visualizer的特点及应用介绍

    WEBENCH Visualizer概述
    的头像 发表于 08-20 00:02 3562次阅读

    基于FPGA的仿真如何工作

    工程师更广泛地理解基于FPGA的仿真,因为工程师习惯于使用FPGA进行设计。对基于处理器的仿真器的理解不太了解,而且有大量错误信息的例子比比皆是。本文将尝试消除解释基于处理器的仿真如何工作以及如何将设计构造映射到其中的谜团,例如
    的头像 发表于 09-14 12:54 1w次阅读
    基于FPGA的<b class='flag-5'>仿真如</b>何工作

    Modelsim/Questasim基本命令

    Modelsim/Questasim基本命令
    发表于 10-21 15:06 1次下载

    使用Vivado调用questasim仿真报错的原因及其解决办法

    有一天使用Vivado调用questasim(modelsim估计也一样),仿真报错
    的头像 发表于 05-08 17:12 1898次阅读