0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

NCSIM、VCS和QuestaSim后仿真如何屏蔽冗余的违例信息呢?

sanyue7758 来源:硅芯思见 2024-01-03 09:43 次阅读

在进行数字电路仿真时,经常会遇到很多时序为例,通常这些违例都是由网表中大量的时序检查报出的。这些常见的时序检查系统任务如下表所示:

时序检查系统任务 说明
$setuphold 检查建立时间和保持时间,当setup违例时,出现此信息
$setuphold 检查建立时间和保持时间,当hold违例时,出现此信息
$setup 检查建立时间
$hold 检查保持时间
$recovery 检查恢复时间(以复位信号为例,可以理解为rst必须在时钟沿之前的有效的最小时间),一般用于复位、置位等
$removal 检查撤销时间(以复位信号为例,可以理解为rst必须在时钟沿之后的有效的最小时间),一般用于复位、置位等
$recrem 检查恢复和撤销时间,一般常用于复位、置位等
$period 检查周期信号的最小周期,一般常用于时钟
$skew 检查两个信号之间的最大时间差
$width 检查脉冲的最小宽度

因为在仿真的过程中,有些报出的违例我们是不关心的,这些信息的大量出现可能会淹没我们真正需要观测的信息,为此需要在仿真时讲这些冗余的信息屏蔽掉。

针对大家经常使用的NCSIM、VCS和ModelSim(QuestaSim),下文将说明如何在这两种EDA工具中屏蔽冗余的违例信息。

1 NCSIM

屏蔽使用的命令格式:

% irun-tfile myfile.tfile[other_options] source_files

上述命令中myfile.tfile中包含屏蔽信息,其中主要内容如下表:

参数 说明
-iopath
+iopath
不使能路径延迟
使能路径延迟
-prim
+prim
不使能指定的instance中原语的延迟
使能指定的instance中原语的延迟
-port
+port
删除指定的instance的port延迟
使能指定的instance的port延迟
[SystemTimingTask] -tcheck
[SystemTimingTask] +tcheck
屏蔽掉不关心的违例

【示例】*.tfile中内容格式

PATH top.foo -tcheck // 屏蔽所有关于foo的时序检查

PATH top.foo $setup $hold -tcheck // 屏蔽所有关于foo的setup和hold时序检查

PATH :SOC.lcsoc.syn_reg $setup -tcheck // 屏蔽:SOC.lcsoc.syn_reg的setup检查

注意:如果要屏蔽其他检查,只需将示例中的$setup或者$hold换成SystemTimingTask中的任何一个即可。

2 VCS

命令格式如下:

tcheck <-msg | -xgen> [-disable | -enable] [-r]

tcheck -query instance | port

上述命令使用时需要注意以下几点:

1>在simv的option中,即 % ./simv -ucli run.tcl,其中run.tcl中增加需要屏蔽的信号,将tcheck中的内容增加的run.tcl中;

2>该命令仅对Verilog/SystemVerilog使用;

3>该命令使用时,待检测的源代码必须包括时序检查系统任务,否则将会产生warning信息;

上述命令格式中使用的相关参数的说明如下表所示。

参数 说明
instance | port 要被屏蔽的时序检查的实例或者端口的全路径名称
tcheck_type 指定需要进行屏蔽的时序检查类型:HOLD|SETUP|SETUPHOLD|WIDTH|RECOVERY|REMOVAL|RECREM|PERIOD|SKEW|ALL
-disable | -enable 使能或不使能相关时序检查,如果要求屏蔽其中SETUP,则在tcheck_type指定SETUP后,需要在tcheck中使用-disable
-msg | -xgen A.指定的实例或者端口违例信息是否被显示
B.指定的实例或者端口notifier信息是否被显示
-r 指定是否递归式的对所有指定的instance及其以下层次所有的instance进行时序检查

【示例】(可以通过-ucli do.tcl直接添加到simv中)

tcheck {top_tb.C40010001} WIDTH -msg -disable

#对top_tb.C40010001不进行WIDTH时序检查,即屏蔽掉top_tb.C40010001的WIDTH检查

tcheck {top_tb.C40010001} -query

#显示对top_tb.C40010001的时序检查信息

3 ModelSim(QuestaSim)

命令格式如下:

tcheck_set[-quiet] [{-m | -n}] [-r [-v]] [ | ]

上述命令格式中使用的相关参数的说明如下表所示。

参数 说明
nstance> 实例(模块或者线网)的全路径名称,该项是必须的
-m | -n 指定屏蔽操作的对象是模块还是线网,该项可选。
-m:此时命令中指定的instance是module(Verilog)或者entity(VHDL),此时的屏蔽操作将作用于指定的模块的所有实例
-n:此时命令中指定的instance指向一个线网,tcheck_set将应用于所有连接该线网的实例
-quiet 用于指定配置信息不显示于Transcript窗口,该参数的指定必须先于,该项可选
-r [-v] 指定是否递归式的对所有指定的instance及其以下层次所有的instance进行时序检查,默认情况下,递归过程中被改变的instance的信息不会输出到Transcript,可以用-v将这些信息输出至Transcript中,该项可选
tcheck_type 指定需要进行屏蔽的时序检查类型:HOLD|SETUP|SETUPHOLD|WIDTH|RECOVERY|REMOVAL|RECREM|PERIOD|SKEW|ALL
使能或者禁止是否将指定的时序检查违例信息和不定态产生的信息报出,该项可设置的值为“ON”和“OFF”,该项可选
控制指定时序检查的违例信息和不定态信息,其中必须先于,其中可设置的值为“ON”和“OFF”,该项可选

【示例】

tcheck_set top_tb.u1.u2 “(WIDTH (negedge clk))”OFF

#示例中,top_tb.u1.u2下的(WIDTH (negedge clk))检查产生的所有信息都将被屏蔽掉。如果对于示例中时序检查表达式的写法不了解,可以使用“tcheck_statustop_tb.u1.u2”来查看top_tb.u1.u2下所有的时序检查表达式,具体应用如下所示:

% tcheck_status top_tb.u1.u2

% #0 (WIDTH (negedge clk)) MsgOn XOn

% #1 (WIDTH (posedge clk)) MsgOn XOn

% #2 (SETUP (negedge d)(posedge clk)) MsgOn XOFF

% #3 (HOLD (posedge clk)(negedge d)) MsgOn XOFF

最后在使用上述EDA工具时,因为不同的工具提供的路径不同,在具体使用时,一定要使用对应的工具获得该工具可识别的路径信息。







审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • EDA工具
    +关注

    关注

    4

    文章

    252

    浏览量

    31282
  • soc
    soc
    +关注

    关注

    38

    文章

    3745

    浏览量

    215678
  • 数字电路
    +关注

    关注

    192

    文章

    1396

    浏览量

    79750
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9495

原文标题:NCSIM、VCS和QuestaSim(ModelSim)后仿真如何屏蔽违例

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    VCS仿真指南(第二版).pdf

    VCS-verilog compiled simulator是synopsys公司的产品.其仿真速度相当快,而且支持多种调用方式;使用的步骤和modelsim类似,都要先做编译,再调用仿真.V
    发表于 12-15 10:27

    ISE设计,questasim仿真时库编译的问题

    设计中用到了GTP_DUAL,利用questasim仿真。Simulation时报错,说找不到GTP_DUAL_fast.v这个文件。在xilinx的安装目录下mti文件中搜索到
    发表于 08-30 16:04

    nanosim和vcs混合仿真的过程是怎样的?

    nanosim和vcs为什么可以联合起来进行数字模拟混合仿真?nanosim和vcs混合仿真的过程是怎样的?
    发表于 06-18 08:28

    VCS仿真卡住,为什么无法生成verdi波形文件

    在make com编译成功,terminal卡住了一直没有反应,这是什么情况有大佬知道吗?VCS仿真卡住,为什么无法生成verdi波形文件
    发表于 06-21 08:14

    基于linux系统的VCS使用及仿真说明

    最近在学习VCS,现将VCS的一些使用心得记录下来。VCS是synopsys的仿真verilog的仿真器。基于linux系统。有命令行模式和
    发表于 07-18 16:18

    基于linux系统实现的vivado调用VCS仿真教程

    在linux系统上实现vivado调用VCS仿真教程 作用:vivado调用VCS仿真可以加快工程的仿真和调试,提高效率。 前期准备:确认安
    的头像 发表于 07-05 03:30 1.1w次阅读
    基于linux系统实现的vivado调用<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>教程

    如何使用Vivado中的Synopsys VCS仿真器进行仿真

    了解如何使用Vivado中的Synopsys VCS仿真器使用MicrBlaze IPI设计运行仿真。 我们将演示如何编译仿真库,为IP或整个项目生成
    的头像 发表于 11-29 06:57 6901次阅读

    基于FPGA的仿真如何工作

    工程师更广泛地理解基于FPGA的仿真,因为工程师习惯于使用FPGA进行设计。对基于处理器的仿真器的理解不太了解,而且有大量错误信息的例子比比皆是。本文将尝试消除解释基于处理器的仿真如
    的头像 发表于 09-14 12:54 1w次阅读
    基于FPGA的<b class='flag-5'>仿真如</b>何工作

    SpinalHDL运行VCS+Vivado相关仿真

    本篇文章来源于微信群中的网友,分享下在SpinalHDL里如何丝滑的运行VCS跑Vivado相关仿真。自此仿真设计一体化不是问题。
    的头像 发表于 08-10 09:15 2093次阅读

    使用VCS仿真Vivado IP核时遇到的问题及解决方案

    前年,发表了一篇文章《VCS独立仿真Vivado IP核的一些方法总结》(链接在参考资料1),里面简单讲述了使用VCS仿真Vivado IP核时遇到的一些问题及解决方案,发表之后经过一
    的头像 发表于 08-29 14:41 1615次阅读

    浅谈VCS的两种仿真flow

    几乎所有的芯片设计、芯片验证工程师,每天都在和VCS打交道,但是由于验证环境的统一化管理,一般将不同的编译仿真选项集成在一个文件里,只需要一两个人维护即可。所以大部分人比较少有机会去深入地学习VCS
    的头像 发表于 01-10 11:20 2455次阅读

    使用Vivado调用questasim仿真报错的原因及其解决办法

    有一天使用Vivado调用questasim(modelsim估计也一样),仿真报错
    的头像 发表于 05-08 17:12 1879次阅读

    记录VCS仿真的IP核只有VHDL文件的解决方法

    使用VCS仿真Vivado里面的IP核时,如果Vivado的IP核的仿真文件只有VHDL时,仿真将变得有些困难,VCS不能直接
    的头像 发表于 06-06 11:15 1439次阅读
    记录<b class='flag-5'>VCS</b><b class='flag-5'>仿真</b>的IP核只有VHDL文件的解决方法

    如何在EDA工具中屏蔽冗余违例信息

    在进行数字电路后仿真时,经常会遇到很多时序为例,通常这些违例都是由网表中大量的时序检查报出的。这些常见的时序检查系统任务如下表所示:
    的头像 发表于 08-19 10:01 762次阅读

    Questasim与Visualizer的livesim仿真如何启动呢?

    Live-Simulation (live-sim)模式允许Visualizer调试环境与Questasim进行交互操作,此模式将Visualizer GUI与Questasim仿真相结合,因此可以在线调试当前
    的头像 发表于 10-10 11:33 293次阅读
    <b class='flag-5'>Questasim</b>与Visualizer的livesim<b class='flag-5'>仿真如</b>何启动呢?