0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

SystemVerilog中的联合(union)介绍

FPGA之家 来源:FPGA之家 2023-10-08 15:45 次阅读

基本联合

在 SystemVerilog 中,联合只是信号,可通过不同名称和纵横比来加以引用。

其工作方式为通过 typedef 来声明联合,并提供不同标识符用于引用此联合。这些标识符称为“字段”。

例如:


wKgZomUiXlyAX_iLAAAhIiPxz_8340.jpg

以上代码创建了一种新类型,名为“union_type”。

此类型的位宽为 4 位,可作为“a”或“b”来引用。

此外,代码最后一行创建了一个新信号,名称为“my_union”且类型为“union_type”。

其使用语法为“.”。

例如:

wKgaomUiXm-AXQy-AAAoTeEqc5o077.jpg

在 Vivado 中运行此代码时,原理图如下所示:

80d5ea60-65ae-11ee-939d-92fbcf53809c.png

图 1:基本联合

请注意,my_union 位宽仍仅为 4 位,而以“a”或“b”来引用它的两项分配均采用相同逻辑。针对 my_union 的分配使用的是“a”,而此联合的读取结果针对 out1 和 out2 则分别使用“a”和“b”。

联合分两种类型:打包 (packed) 和解包 (unpacked)。在上述示例中,我们指定的是打包联合。默认情况下,如果不指定类型,编译器将假定它采用解包联合。

打包联合与解包联合的差别在于,在打包联合中,其中所有标识符都必须采用打包类型,并且大小必须相同。

在上述示例中,“a”和“b”位宽均为 4 位。但如果其中之一为 4 位,而另一个为 2 位,则该工具中将生成错误。而在解包联合中,标识符可采用解包类型并且大小无需相同。

因此,在上述 4 位和 2 位联合示例中,删除“packed”语句将使该工具能够对 RTL 进行完整审查。

总而言之,打包联合在综合工具中所受支持更为广泛,并且更便于概念化。对于本文中的前几个联合示例,我们使用的是打包联合,但从此处开始直至文末,我们将展示解包联合示例。

含多维字段的联合

上述示例只是简单演示了联合的作用。让我们来看下较为复杂的联合示例:

wKgZomUiXoeAK2fBAAAay0r8Ty8031.jpg

同上,首先对联合进行声明,并创建类型为“union_type”的信号。差别在于,字段“a”位宽为 4 位,另一个字段“b”位宽同样为 4 位,但后者排列为 2 个 2 位矢量。由于这两个字段大小相同,并且字段“b”使用的是打包类型,因此这是一个合法的打包联合。

其结构如下所示:

80e3fd3a-65ae-11ee-939d-92fbcf53809c.png

图 2:含多维阵列的联合

为此结构分配的 RTL 如下所示:

wKgaomUiXpuASRTEAAAqGzvcKzU043.jpg

原理图如下所示:

80f30b22-65ae-11ee-939d-92fbcf53809c.png

图 3:多维联合的原理图

含结构的联合

联合还可配合结构一起使用。就像所有打包联合一样,结构大小必须与联合中的任何其他类型的大小相同。

例如:

wKgZomUiXrGALW8gAAA1bBhuiPc970.jpg

此 RTL 介绍的联合包含 2 个位宽均为 10 位的字段。第一个字段为名为“data”且位宽为 10 位的矢量。第二个字段采用包含 5 个字段的结构,这些字段的大小总和同样为 10 位。

为此创建的结构如下所示:

80fa047c-65ae-11ee-939d-92fbcf53809c.png

图 4:含结构的联合

由于当前联合中包含结构,因此其正确的引用方式是引用联合中的结构:

wKgaomUiXsKAK42xAAAfoypBdW0368.jpg

解包联合

如果联合中的字段大小不同,或者如果联合中的字段本身使用的类型为解包类型,那么此类联合需声明为解包联合。

对于前一种情况,如果指定的联合包含不同大小的字段,那么该联合本身大小将设置为最大字段的大小。 示例 RTL:

wKgZomUiXtGAZjeeAAAb_EADTEM481.jpg

这样即可创建如下所示结构:

8105de46-65ae-11ee-939d-92fbcf53809c.png

图 5:含不同大小字段的解包联合

含结构的解包联合

与打包联合相同,解包联合同样可以使用结构。

wKgaomUiXuKADDVvAAAjTsQ8Lgg247.jpg

以上示例将创建一个含两个字段的联合。其中一个字段为位宽 8 位的矢量“b1”,另一个字段为位宽 5 位的结构,此结构由一个位宽 4 位的矢量 a1 和一个位宽 1 位的矢量 a2 组成。

此联合将作为位宽 8 位的矢量来创建,如下所示:

8109851e-65ae-11ee-939d-92fbcf53809c.png

图 6:含结构的解包联合

同上,由于联合中包含结构,因此需按如下方式来引用信号:

wKgZomUiXviAMTLMAAAbu4ijY4E317.jpg







审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • RTL
    RTL
    +关注

    关注

    1

    文章

    377

    浏览量

    59076
  • Verilog语言
    +关注

    关注

    0

    文章

    113

    浏览量

    8160

原文标题:SystemVerilog 中的联合 (union)

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    联合union在labview如何建立

    typedef union{Driver_up_Status DuS; Driver_down_Status Dds;}Driver_Status;Driver_up_Status 和 Driver_down_Status都是定义的枚举类型。请问大神们,这个联合体在lab
    发表于 08-09 11:28

    联合体问题

    union U1{INT8Uax[4];FP32 fx;INT32Slx;INT32Uulx;INT16Six;INT16Uuix;INT8Scx;INT8Uucx;};U1 X_rom;//申请联合接口体数据变量定义如上的一个联合
    发表于 01-04 12:13

    如何定义了这个联合体?

    我先定义一个联合体数据类型,然后接着定义了一些联合体变量,定义联合体部分如下:我在sys_config.c文件定义如下联合体数据类型和变量
    发表于 07-12 04:28

    C语言union用于打包和拆包数据

    使用union来打包/拆包数据联合的成员存储在共享存储区。这是使我们能够找到有趣的工会应用程序的关键功能。考虑下面的联合union {u
    发表于 09-28 09:56

    请问UNIONUNION ALL的区别是什么?

    UNIONUNION ALL的区别
    发表于 11-17 07:25

    结构体struct和联合union的区别?

    结构体struct和联合union的区别?
    发表于 10-11 08:21

    基于SystemVerilog语言的验证方法学介绍

    文章主要介绍《VMM for SystemVerilog》一书描述的如何利用SystemVerilog语言,采用验证方法学以及验证库开发出先进验证环境。文章分为四部分,第一部分概述了用Syst
    发表于 05-09 15:22 52次下载
    基于<b class='flag-5'>SystemVerilog</b>语言的验证方法学<b class='flag-5'>介绍</b>

    SystemVerilog语言介绍汇总

    作者:limanjihe  https://blog.csdn.net/limanjihe/article/details/83005713 SystemVerilog是一种硬件描述和验证语言
    的头像 发表于 10-11 10:35 2077次阅读

    单片机编程之联合体(union)的妙用

    单片机编程之联合体(union)的妙用
    发表于 11-13 18:36 13次下载
    单片机编程之<b class='flag-5'>联合</b>体(<b class='flag-5'>union</b>)的妙用

    union 的概念及在嵌入式编程中的应用

    union 在中文的叫法中又被称为共用体,联合或者联合体,它定义的方式与 struct 是相同的,但是意义却与 struct 完全不同,下面是 union ...
    发表于 02-07 11:30 0次下载
    <b class='flag-5'>union</b> 的概念及在嵌入式编程中的应用

    Xilinx SystemVerilog中的基本联合

    SystemVerilog 中,联合体只是信号,可通过不同名称和纵横比来加以引用。 其工作方式为通过 typedef 来声明联合,并提供不同标识符用于引用此联合体。 这些标识符称为
    的头像 发表于 02-19 19:01 995次阅读
    Xilinx <b class='flag-5'>SystemVerilog</b>中的基本<b class='flag-5'>联合</b>体

    Systemverilog中的union

    SystemVerilog union允许单个存储空间以不同的数据类型存在,所以union虽然看起来和struct一样包含了很多个成员,实际上物理上共享相同的存储区域。
    的头像 发表于 11-09 09:41 603次阅读

    SystemVerilog中的Packed Union

    packed union相比unpacked union最大的一个区别就是,在packed union中,所有成员的大小必须相同,这就保证了不管union中存储了哪一个成员,最终这个
    的头像 发表于 11-12 09:05 807次阅读

    关键字union的基本定义和使用

    关键字union,又称为联合体、共用体,联合体的声明和结构体类似,但是它的行为方式又和结构体不同,这里的行为方式主要指的是其在内存中的体现,结构体中的成员每一个占据不同的内存空间,而联合
    的头像 发表于 04-15 11:18 1896次阅读
    关键字<b class='flag-5'>union</b>的基本定义和使用

    unionunion all有什么区别

    UnionUnion All是SQL中的两个关键字,它们用于将两个或多个SELECT语句的结果集合并在一起。这两个关键字虽然有相似的功能,但在实际使用中有一些重要的区别。下面将详细介绍Uni
    的头像 发表于 12-06 10:22 424次阅读