0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

EDA形式化验证漫谈:仿真之外,验证之内

芯华章科技 来源:芯华章科技 作者:芯华章科技 2023-09-01 09:10 次阅读

“在未来五年内仿真将逐渐被淘汰,仅用于子系统和系统级验证。与此同时,形式化验证方法已经开始处理一些系统级任务。随着技术发展,更多Formal相关的商业标准化会推出。”

Intelfellow

M. V. Achutha Kiran Kumar

随着Formal技术的发展,业内已经有不少公司有专门的形式化验证团队,也培养了一批热爱Formal,愿意来钻研这门技术的EDA人。

仿真方法学是动态验证的一种,是一个“你想到哪里才能验到哪里”的验证方式,本质上在不断做加法。你需要先让自己尽可能多地想到各种场景、耗费大量时间搭建测试环境,再试图“碰出BUG”,但是这种方式更擅长发现设计“这里有BUG”,但却很难回答“那里没有BUG”的问题。

这方面形式化验证工具有很大的优势。

形式化验证则被称为静态验证,是一种基于严格的数学与算法的验证方法学。用户利用SVA断言描述清楚需要证明的设计规格,通过编译RTL和基于SVA的断言语言,建立Formal模型,然后不断做减法,发现不符合模型的“反例”

显而易见的一个优势是,形式化验证工具能够通过建立数学模型,实现更敏捷的反向验证,以类似数学定理证明的方式,通过对所有可能的激励空间进行遍历,保证逻辑没有死角,实现验证的完备化、自动化

传统的仿真工具,在进入具体发现bug阶段前,往往需要很长时间为UVM搭建一个完备的验证平台(testbench);而在调试(Debug)阶段,当出现UVM error,需要问题定位时,仿真工具一般需要从UVM繁杂的log到rtl再到waveform、信号级别,这也是一个很长的回溯曲线。形式化验证在这两个方面,则可以节省很多时间,尤其是通过直接提供反例波形,可以精准直指相关信号进行问题定位。

早期大家可能会担心,觉得Formal工具是不是有使用门槛,这种担心来源于形式化验证工具使用过程中,需要基于对设计的全面理解,才能正确构建验证模型的断言和约束。

一方面,形式化验证对验证工程师而言,确实能够大大的减轻焦虑,芯华章2021年推出GalaxFV,并且在项目的打磨中,持续不断地在丰富应用级断言库,并对其参数化、提高可配置性,让GalaxFV更加容易使用。

另外,随着设计规模增加,工具更加的自动化、智能化,理解设计会成为工程师的一大优势!用好Formal工具,项目将不再需要靠堆人、堆license、堆时间来提高验证质量。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132164
  • eda
    eda
    +关注

    关注

    71

    文章

    2540

    浏览量

    170872
  • 验证
    +关注

    关注

    0

    文章

    57

    浏览量

    15077
  • 芯华章
    +关注

    关注

    0

    文章

    157

    浏览量

    11342

原文标题:形式化验证漫谈:仿真之外,验证之内

文章出处:【微信号:X-EPIC,微信公众号:芯华章科技】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    鉴源论坛 · 观模丨形式化验证——以操作系统任务调度算法验证为案例

    形式化方法为软件开发过程提供了一种较为透彻的思维方式,该方式可以用于工程化系统设计,并且可以很好地帮助工程人员建立系统抽象模型,从而进行系统精化和验证
    的头像 发表于 11-09 11:25 168次阅读
    鉴源论坛 · 观模丨<b class='flag-5'>形式化验证</b>——以操作系统任务调度算法<b class='flag-5'>验证</b>为案例

    芯片开发中形式化验证的是一个误区

    今天的形式验证工具具有更大的容量,并且许多工具能够在服务器或云上以分布式模式运行。形式验证的技术和方法也得到了扩展。
    的头像 发表于 11-29 14:31 1594次阅读

    形式化方法的工程化

    形式化工程方法,是以软件形式化方法理论为基础,以系统化的工程方法引导工业界工程人员构建高质量的软件模型,用以引导后续的代码编写和相关测试分析。并选取了工业实际场景中的某操作系统的调度系统的形式化验证
    的头像 发表于 03-24 11:01 1182次阅读
    <b class='flag-5'>形式化</b>方法的工程化

    一个高效的现代EDA仿真验证流程

    下图是一个典型的EDA仿真验证环境,其中主要的组件就是激励生成、检查和覆盖率收集。
    的头像 发表于 04-13 09:27 1505次阅读

    ACRN 之InterruptWindow功能正确性形式化验证

    重磅推荐|ACRN 之InterruptWindow功能正确性形式化验证
    发表于 06-18 16:04

    化验证和封装形式有关系吗?

    无关,任何形式的封装,皆需要做老化实验。苏试宜特提供客户量身订制全方位的一站式服务, 从老化验证的硬件设计/制造到样品调试/实验/报告, 苏试宜特都可以协助客户完成。
    发表于 09-13 09:46

    可视化的安全策略形式化描述与验证系统

    通过分析安全策略中可能出现的问题,对安全策略的一致性与完备性进行形式化定义。通过构造安全策略的状态模型,提出策略的一致性与完备性验证算法。基于可扩展访问控制标
    发表于 04-07 09:00 9次下载

    基于Petri网的安全协议形式化描述和安全性验证

    本文提出了一种基于 Petri网 的安全协议形式化描述和安全性验证的方法. 该方法的特点是,利用逆向状态分析判定协议运行过程中可能出现的不安全状态,利用Petri 网的状态可达性分析判
    发表于 08-18 15:34 18次下载
    基于Petri网的安全协议<b class='flag-5'>形式化</b>描述和安全性<b class='flag-5'>验证</b>

    VaaS平台已支持区块链平台智能合约的形式化验证

    VaaS形式化验证平台,采用了多种形式化验证方法,具有验证效率高、自动化程度高、人工参与度低、易于使用、支持多个合约开发语言、可支持大容量区块链底层平台的形式化验证等优点。
    发表于 12-14 10:18 985次阅读

    闪电网络通过形式化验证结果表明和比特币一样安全

    of the Lightning Network” 的论文认为,如今闪电网络已经被用于保护至少 8500 万美元的真实资金,但其代码规范缺乏形式化验证是一件 “极其严重的事”。
    发表于 09-24 10:29 602次阅读

    安全测试之离线免费版自动形式化验证工具Beosin—VaaS

    近期,笔者注意到一款智能合约自动形式化验证工具BeosinVaaS推出了离线免费版。所谓离线免费版,相较于之前该公司推出的在线免费版、企业版而言,亮点自然不言而喻。对于开发者来说,离线版的验证工具
    发表于 11-23 00:06 592次阅读

    基于定理证明其的有限域及其形式化研究

    方法只能在η固定的特定有限域上进行验证,而且计算量往往超出计算机的能力。基于交互式定理证眀器的形式化验证为有限域性质的通用验提供了可能性,但这方面的工作难度较大。已有研究主要针对有服域的抽象性质进行形式化验证,但计
    发表于 04-25 11:41 1次下载
    基于定理证明其的有限域及其<b class='flag-5'>形式化</b>研究

    软件的顺序语句块自动化规约与验证研究

    软件的形式化验证是保障软件可证明性、可靠性和安全性的重要手段,但传统形式化验证脚本的生成过程复杂且需要形式化验证专家的大量手工验证。为提高证明效率,构建一种自动证明模型,并在此基础上提
    发表于 06-03 14:31 5次下载

    从小众走向普及,形式化验证对系统级芯片开发有多重要?

    形式化验证作为一种全新的验证方法,近年来在芯片开发中快速发展,正逐渐取代传统的仿真方法。 虽然仿真在系统级验证方面仍然发挥着重要的作用,但对
    的头像 发表于 04-21 19:35 437次阅读
    从小众走向普及,<b class='flag-5'>形式化验证</b>对系统级芯片开发有多重要?

    形式验证及其在芯片工程中的应用

    形式验证不仅仅是芯片领域中的一个概念。正如文章开头提到过,形式验证强调使用严格的数学推理和形式化技术,以确保系统的行为是否符合预期的性质和规
    的头像 发表于 10-20 10:46 473次阅读