0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

IC后端概述(下)

ruikundianzi 来源:IC技术交流 2023-08-31 14:31 次阅读

本节介绍后端处理的剩余部分,上节我们讲到floorplan和placement。后面就到了CTS阶段。

1、在此之前,从synthesis开始到placement,Clock都被假设为理想的,从clock port到reg/CK的延时都为0;需要构建一棵Clock Tree,把Clock信号从port送到reg/CK;

2、Clock Tree长好之后还需要对timing进行优化(这个阶段的优化叫做PostCTS Opt),包括setup和hold;

第一:place_opt是基于理想时钟而对设计进行,长完Clock Tree之后的时刻和理想时钟存在区别;

第二:CTS的过程中工具会挪动原来的单元,导致delay有变化;

第三:需要修Hold timing,因为在这之前一直没理会Hold;

3、要完成对Clock Net的绕线(route),这是因为Clock Net比普通的信号Net更重要,所以要先route;

5421203c-47c4-11ee-97a6-92fbcf53809c.png

Pre-CTS之前的Clock如上图所示,Post-CTS之后的Clock如下图所示:

547efedc-47c4-11ee-97a6-92fbcf53809c.png

Post-CTS Opt之后需要进行timing分析。此步骤通过后进行Initial Route:对所有未绕线的net进行绕线。

绕线之后(PostRoute)进行Timing优化:包括setup和hold,及power和Area优化:

1、此时所有net都已经完成route,这时叫detailed route(之前的阶段是Virtual Route),所以此时的RC信息和之前估算的会有些区别,delay会有变化;

2、PostRoute优化之后也需要分析是否满足。

在以上步骤满足时序要求之后,就要为提高良率(yield)和解决物理规则违规做的工作:

WireSpreading

Redundant ViaInsertion

FillerCellInsertion

Metal Fill Insertion

Metal Slotting

1、这一步也通常被称为DFM(Design For Manufacturing);

2、良率(Yield):因为有些芯片在wafer上制造出来有缺陷,有的芯片能正常工作,有些芯片工作不正常,那么正常的芯片占总芯片的比例就是良率;

54a0fc80-47c4-11ee-97a6-92fbcf53809c.png

Wire Spreading

顾名思义,就是把线拓宽。如果一粒灰尘落在线上面会影响导通性能甚至短路,在没有很多线时可把线拓宽,线间距拉大。

54bb54c2-47c4-11ee-97a6-92fbcf53809c.png

Redundant ViaInsertion

在不同层的连接点Via处,添加冗余Via,这样即使一个连接点坏掉了,还有其它连接点。

54e6e2c2-47c4-11ee-97a6-92fbcf53809c.png

Filler Cell

填充没有实际功能的cell。在未摆放cell的row/site中填充标准单元,构建连续完整的NWell/PWell。

5523df56-47c4-11ee-97a6-92fbcf53809c.png

Metal Fil

一般Fab会给出每平方um中最低/最高metal密度,对于metal密度太低的地方,电路蚀刻时会更严重,导致metal变形,导通性下降。一般解决办法是填充Metal,虽然这些metal没有实际用途。

556851ea-47c4-11ee-97a6-92fbcf53809c.png

MetalSlot

对于二氧化硅上面覆盖的Metal,时间长了热胀冷缩可能两端会翘起来,对于这种情况可在metal上挖洞。

55aa299e-47c4-11ee-97a6-92fbcf53809c.png

DFM做完后,下面是写出数据,包括top.gds,top.sdc和top.dc.v,top.pg.lvs.filler.v等,用于形式验证和sign off等。

55ca4b84-47c4-11ee-97a6-92fbcf53809c.png

之前的形式验证是比较rtl和DC综合后的网表,这次的形式验证是比对DC综合后的网表和布局布线之后的网表,两者不同。

55e664e0-47c4-11ee-97a6-92fbcf53809c.png

时序最终步骤:Signoff STA:StartRC+PT

1、STA:Static Timing Analysis,是相对于使用激励动态仿真而言的,STA通过静态分析delay并检查时序是否满足;

2、Sigoff STA:可以理解为最终的、权威的STA,此处timing要是过了就人为性能满足要求了;

3、Signoff STA要求使用最精确的RC寄生参数和STA算法

4、高精度的RC参数要synpsys的StarRC工具提取,Cadence相应的工具叫QRC;

5、STA工具用synopsys的PrimeTime(PT),Cadence相应的工具叫ETS;

55ff44e2-47c4-11ee-97a6-92fbcf53809c.png

首先用StarRC提取寄生参数,输出精确延时的.spef文件

561b4e3a-47c4-11ee-97a6-92fbcf53809c.png

然后使用PT工具做signoff,signoff通过时序分析就完全结束了。

物理验证是必不可少的,Physical Verfication:DRC,LVS和Antenna

DRC:物理规则检查(Design Rule Check)

Fab的要求,会给IC后端一个drc的rule文件,包含所有物理要求,例如线宽度,线间距,相邻层的正交距离等。

5647dc48-47c4-11ee-97a6-92fbcf53809c.png

DRC检查工具使用Calibre DRC,检查迭代过程如下如所示。

56b73cbe-47c4-11ee-97a6-92fbcf53809c.png

Antenna天线规则检查

放置在电磁场中的金属线(天线)产生电压,电压大到一定程度会损坏MOSFET栅极处的薄氧化物,将管子击穿。在加工过程中,随着金属丝长度的增加,施加在栅氧化层上的电压增加,天线规则定义了可接受的天线比率

天线比率:

与闸门连接的金属面积闸门组合面积或者与闸门连接的金属面积组合周长

LVS:Layout vs. Schematic

前两步形式验证无法保证GDS和布局布线后的网表等价,所以需要LVS。

56cdd244-47c4-11ee-97a6-92fbcf53809c.png

56dcea36-47c4-11ee-97a6-92fbcf53809c.png

后面三步物理验证统一使用Calibre工具。

Power Signoff:IR Drop

对于整个芯片后端电压而言,电压由外部PAD供电,越往芯片内部走,电压降越大。

5706b712-47c4-11ee-97a6-92fbcf53809c.png

Static IR drop

—VDD+VSS不能超过3%(寄存器

—VDD+VSS不能超过5%(线wire bond)

Dynamic IR drop

—signoff要求的3-5倍

—扫描模式IR drop

漏电功耗通常在时钟边沿附近

当很多寄存器同时翻转时,在一个小的时间窗口内分析IR drop

Power分析常用工具有Cadence的EPS和Synopsys的PrimeRail(该工具后续版本可能是PTPX)。





审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5028

    浏览量

    117722
  • DFM
    DFM
    +关注

    关注

    8

    文章

    447

    浏览量

    27590
  • STA
    STA
    +关注

    关注

    0

    文章

    51

    浏览量

    18850
  • 电磁场
    +关注

    关注

    0

    文章

    745

    浏览量

    46817
  • CTS
    CTS
    +关注

    关注

    0

    文章

    34

    浏览量

    13887

原文标题:IC后端概述(下)

文章出处:【微信号:IP与SoC设计,微信公众号:IP与SoC设计】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    IC前端和后端设计的区别

    首先,我不算是高人,不过前,后端都有接触,我就大概回答一吧,有说的不对的地方,请高人指正。 1,前端主要负责逻辑实现,通常是使用verilog/VHDL之类语言,进行行为级的描述。而后端,主要负责
    发表于 12-19 16:01

    数字IC后端(CAD)

    IC流程。任职资格:1、 微电子或物理相关专业,本科以上学历;2、 1-2年相关工作经验;3、 拥有扎实的硬件电路基础知识;4、 熟练掌握多种EDA Tools;5、 掌握Verilog、TCL、Perl、C语言;6、 具有后端APR经验。
    发表于 04-08 17:23

    开关电源后端集成LM358转灯IC

    开关电源后端集成LM358转灯IC资料来自网络
    发表于 07-02 21:48

    数字IC后端设计介绍,写给哪些想转IC后端的人!

    设计(自动布局布线-APR)。  数字IC后端设计是指将前端设计产生的门级网表通过EDA设计工具进行布局布线和进行物理验证并最终产生供制造用的GDSII数据的过程。其主要工作职责有:芯片物理结构分析、逻辑分析、建立
    发表于 12-29 11:53

    数字后端设计工程师主要干什么?

    数字后端,顾名思义,它处于数字IC设计流程的后端,属于数字IC设计类岗位的一种。在IC设计中,数字后端
    发表于 01-13 06:31

    后端集成电路SAA7158电子资料

    概述:SAA7158是飞利浦半导体(NXP Semiconductors)出品的一款后端集成电路(Back END IC),其主要设计用于与8051型CPU微处理器,系统支持数字Y/U/V总线选择不同的视频信号.
    发表于 04-08 07:59

    数字IC后端设计电源规划的学习资料分享

    数字IC后端设计电源规划的学习
    发表于 12-28 06:17

    后端系统,后端系统是什么意思

    后端系统,后端系统是什么意思 “后端系统”从宽泛的角度上讲是指向用户提供数据的服务器、超级服务器、群集系统、中程系统以及
    发表于 04-06 17:21 3631次阅读

    概述世界各大IC设计公司的历史

    概述世界各大IC设计公司的历史
    发表于 10-18 12:52 26次下载
    <b class='flag-5'>概述</b>世界各大<b class='flag-5'>IC</b>设计公司的历史

    IC前端设计(逻辑设计)和后端设计(物理设计)的详细解析

    IC前端设计(逻辑设计)和后端设计(物理设计)的区分:以设计是否与工艺有关来区分二者;从设计程度上来讲,前端设计的结果就是得到了芯片的门级网表电路。
    的头像 发表于 12-25 16:08 3.2w次阅读
    <b class='flag-5'>IC</b>前端设计(逻辑设计)和<b class='flag-5'>后端</b>设计(物理设计)的详细解析

    IC设计前后端流程与EDA工具介绍

    本文首先介绍了ic设计的方法,其次介绍了IC设计前段设计的主要流程及工具,最后介绍了IC设计后端设计的主要流程及工具。
    发表于 04-19 18:04 1.2w次阅读

    浅谈数字后端工程师的工作

    数字后端,顾名思义,它处于数字IC设计流程的后端,属于数字IC设计类岗位的一种。 在IC设计中,数字后端
    的头像 发表于 02-26 16:06 1.3w次阅读

    数字后端——电源规划

    数字IC后端设计电源规划的学习
    发表于 01-05 14:54 13次下载
    数字<b class='flag-5'>后端</b>——电源规划

    ic设计前端到后端的流程 ic设计的前端和后端的区别

    IC(Integrated Circuit)设计涉及两个主要的阶段:前端设计和后端设计。它们在IC设计流程中扮演着不同的角色和职责,具有以下区别
    的头像 发表于 08-15 14:49 2254次阅读

    IC后端概述(上)

    GDS:Geometry Data Standard。它是描述电路版图的一种格式:包括晶体管大小,数量,物理位置和尺寸信息,连接线的物理尺寸和位置信息等等。晶体管+连接线组成庞大的电路逻辑。一般GDS可通过calibre,virtuoso,laker等查看。
    的头像 发表于 08-28 16:08 4878次阅读
    <b class='flag-5'>IC</b><b class='flag-5'>后端</b><b class='flag-5'>概述</b>(上)