0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

时序分析基本概念介绍<Virtual Clock>

冬至子 来源:数字后端IC芯片设计 作者:Tao涛 2023-07-07 16:52 次阅读

今天我们介绍的时序分析基本概念是 Virtual Clock ,中文名称是虚拟时钟。我们通常说的create_clock, create_generated_clock 都是real clock。而virtual clock则不挂在任何port或者pin上,只是虚拟创建出来的时钟。如下所示:

#定义虚拟时钟

create_clock -name VCLK -period 10 -waveform {0 5}

为什么我们要定义virtual clock?

我们通常会把input/output delay挂在virtual clock上,因为input/output delay约束本来就是指片外的时钟,所以挂在virtual clock上较为合理。

图片

如上图所示:片外的时钟CLK2和片内的时钟CLK1频率不同。这样的情况下,如何来定义input port {in1}上的约束?

这时,我们就可以给input port {in1}创建一个virtual clock。

create_clock -name VCLK2 -period 10 -waveform {2 8}

create_clock -name CLK1 -period 8 -waveform {0 4} [get_ports clk]

set_input_delay -clock VCLK2 -max 2.7 [get_ports in1]

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时序分析
    +关注

    关注

    2

    文章

    126

    浏览量

    22473
  • 虚拟时钟
    +关注

    关注

    0

    文章

    4

    浏览量

    6549
  • 虚拟机
    +关注

    关注

    1

    文章

    855

    浏览量

    27381
  • CLK
    CLK
    +关注

    关注

    0

    文章

    122

    浏览量

    16912
  • 时序分析器
    +关注

    关注

    0

    文章

    24

    浏览量

    5225
收藏 人收藏

    评论

    相关推荐

    时序分析中的一些基本概念

    时序分析是FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些
    发表于 10-21 09:28 1410次阅读

    详细介绍时序基本概念Timing arc

    时序分析基本概念介绍——Timing Arc
    的头像 发表于 01-02 09:29 2.4w次阅读
    详细<b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>基本概念</b>Timing arc

    介绍时序分析基本概念lookup table

    今天要介绍时序分析基本概念是lookup table。中文全称时序查找表。
    的头像 发表于 07-03 14:30 764次阅读
    <b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>lookup table

    clock gate时序分析概念介绍

    今天我们要介绍时序分析概念clock gate。 clock gate cell是用data
    的头像 发表于 07-03 15:06 1703次阅读
    <b class='flag-5'>clock</b> gate<b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>概念</b><b class='flag-5'>介绍</b>

    时序分析基本概念介绍&amp;lt;Operating Condition&amp;gt;

    今天我们要介绍时序分析概念是 **Operating Condition** 。也就是我们经常说的PVT环境,分别代表fabrication process variations(工
    的头像 发表于 07-04 10:57 2112次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Operating Condition&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Latency&amp;gt;

    今天要介绍时序分析基本概念是Latency, 时钟传播延迟。主要指从Clock源到时序组件
    的头像 发表于 07-04 15:37 1481次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Latency&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Skew&amp;gt;

    今天要介绍时序分析基本概念是skew,我们称为偏差。
    的头像 发表于 07-05 10:29 2377次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Skew&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析Slew/Transition基本概念介绍

    今天要介绍时序分析基本概念是Slew,信号转换时间,也被称为transition time。
    的头像 发表于 07-05 14:50 1721次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>Slew/Transition<b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>

    时序分析基本概念介绍&amp;lt;spice deck&amp;gt;

    今天我们要介绍时序分析概念是spice deck。平时用得可能比较少,是PT产生的一个spice信息文件,可以用来和HSPICE做correlation。
    的头像 发表于 07-05 15:45 692次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;spice deck&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;generate clock&;gt;

    今天我们要介绍时序分析概念是generate clock。中文名为生成时钟。generate cloc
    的头像 发表于 07-06 10:34 1425次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;generate <b class='flag-5'>clock&</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Critical Path&amp;gt;

    今天我们要介绍时序分析概念是Critical Path。全称是关键路径。
    的头像 发表于 07-07 11:27 724次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Critical Path&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;wire load model&amp;gt;

    今天我们要介绍时序分析基本概念是wire load model. 中文名称是线负载模型。是综合阶段用于估算互连线电阻电容的模型。
    的头像 发表于 07-07 14:17 622次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;wire load model&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Uncertainty&amp;gt;

    今天我们要介绍时序分析命令是uncertainty,简称时钟不确定性。
    的头像 发表于 07-07 17:23 1962次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Uncertainty&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;ILM&amp;gt;

    今天我们要介绍时序分析基本概念是ILM, 全称Interface Logic Model。是一种block的结构模型。
    的头像 发表于 07-07 17:26 2240次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;ILM&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Combinational logic&amp;gt;

    今天我们要介绍时序分析概念是Combinational logic. 中文名组合逻辑单元。这是逻辑单元的基本组成器件。
    的头像 发表于 07-10 14:31 510次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Combinational logic&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;