0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

clock gate时序分析概念介绍

冬至子 来源:数字后端IC芯片设计 作者:Tao涛 2023-07-03 15:06 次阅读

今天我们要介绍的时序分析概念是 clock gate 。 clock gate cell是用data signal控制clock信号的cell,它被频繁地用在多周期的时钟path,可以节省功耗。如下图所示:

图片

我们经常说的reg2clockgate path的setup和hold检查,就是指:clock gate上enable信号要比clock信号提前到达一段时间和保持一段时间。

通常,clock gate上的setup会比较难收敛,因为如下图对于clock gate的timing path,天然会穿在skew k;clock tree必然不balance。在实际设计中,我们一般会尽量将clock gate 单元放在寄存器附近以减小skew。也可以采用 set_clock_gating_check ,加大对clock gate timing的约束。

图片

常见的clock gating cell有 ICG cell (integrated clock gating cell)和 clock gating logical cell (and+low latch)。现在一般library库里都带有ICG cell了,clock gating logical cell已经不常用了

对于clock gating cell,synthesis前就会插入,本身和CTS没太大关系,

一般只要确保clock timing check打开的就行,就是如下global变量设成true

timing_enable_clock2clock_clockgating_check

另外,CTS工具会对其做clone,declone操作.

ICG cell Clone: 受相同ICG cell控制的时序单元较多或者分布不均匀时,就会导致ICG cell连线过长,这时可以通过clone ICG cell进行优化

ICG cell De-Clone: 受相同ICG cell控制的时序单元较少,就会导致ICG cell数量过多,设计density增大。这时可以通过De-clone ICG cell进行优化。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5032

    浏览量

    117741
  • CTS
    CTS
    +关注

    关注

    0

    文章

    34

    浏览量

    13888
  • 时序分析器
    +关注

    关注

    0

    文章

    24

    浏览量

    5225
收藏 人收藏

    评论

    相关推荐

    时序分析中的一些基本概念

    时序分析是FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些基本
    发表于 10-21 09:28 1406次阅读

    时序分析中的一些基本概念

    时序分析时FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些基本
    发表于 02-11 19:08 3984次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>中的一些基本<b class='flag-5'>概念</b>

    详细介绍时序基本概念Timing arc

    时序分析基本概念介绍——Timing Arc
    的头像 发表于 01-02 09:29 2.4w次阅读
    详细<b class='flag-5'>介绍</b><b class='flag-5'>时序</b>基本<b class='flag-5'>概念</b>Timing arc

    时序分析基本概念之生成时钟详细资料介绍描述

    今天我们要介绍时序分析概念是generate clock。中文名为生成时钟。generate cloc
    的头像 发表于 09-24 08:12 8112次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b>之生成时钟详细资料<b class='flag-5'>介绍</b>描述

    时序分析概念之spice deck介绍

    平时用得可能比较少,是PT产生的一个spice信息文件,可以用来和HSPICE做correlation。我们平时使用PT做得是gate level的时序分析,如果想做transistor level的
    的头像 发表于 09-23 16:52 6223次阅读

    FPGA设计中时序分析的基本概念

    时序分析时FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些基本
    的头像 发表于 03-18 11:07 2144次阅读

    介绍时序分析的基本概念lookup table

    今天要介绍时序分析基本概念是lookup table。中文全称时序查找表。
    的头像 发表于 07-03 14:30 762次阅读
    <b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>的基本<b class='flag-5'>概念</b>lookup table

    SOCV时序分析概念简析

    今天我们介绍时序分析概念是 **SOCV** 。也被叫作POCV,全称为 **Statistic OCV** . 这是一种比AOCV更加先进的分析
    的头像 发表于 07-03 15:19 1677次阅读
    SOCV<b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>概念</b>简析

    AOCV时序分析概念介绍

    今天我们要介绍时序分析概念是 **AOCV** 。全称Stage Based Advanced OCV。我们知道,在OCV分析过程中,我们
    的头像 发表于 07-03 16:29 1296次阅读
    AOCV<b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>概念</b><b class='flag-5'>介绍</b>

    时序分析基本概念介绍&lt;Latency&gt;

    今天要介绍时序分析基本概念是Latency, 时钟传播延迟。主要指从Clock源到时序组件
    的头像 发表于 07-04 15:37 1477次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介绍</b>&lt;Latency&gt;

    介绍时序分析基本概念MMMC

    今天我们要介绍时序分析基本概念是MMMC分析(MCMM)。全称是multi-mode, multi-corner, 多模式多端角
    的头像 发表于 07-04 15:40 1602次阅读
    <b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b>MMMC

    时序分析Slew/Transition基本概念介绍

    今天要介绍时序分析基本概念是Slew,信号转换时间,也被称为transition time。
    的头像 发表于 07-05 14:50 1706次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>Slew/Transition基本<b class='flag-5'>概念</b><b class='flag-5'>介绍</b>

    时序分析基本概念介绍&lt;generate clock&gt;

    今天我们要介绍时序分析概念是generate clock。中文名为生成时钟。generate cloc
    的头像 发表于 07-06 10:34 1422次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介绍</b>&lt;generate <b class='flag-5'>clock</b>&gt;

    时序分析基本概念介绍&lt;Virtual Clock&gt;

    今天我们介绍时序分析基本概念是Virtual Clock,中文名称是虚拟时钟。
    的头像 发表于 07-07 16:52 794次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介绍</b>&lt;Virtual <b class='flag-5'>Clock</b>&gt;

    时序分析基本概念介绍时序库Lib

    今天主要介绍时序概念时序库lib,全称liberty library format(以• lib结尾),
    的头像 发表于 07-07 17:15 1789次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>基本<b class='flag-5'>概念</b><b class='flag-5'>介绍</b>—<b class='flag-5'>时序</b>库Lib