0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

时序分析基本概念介绍<Uncertainty>

冬至子 来源:数字后端IC芯片设计 作者:Tao涛 2023-07-07 17:23 次阅读

今天我们要介绍的时序分析命令是 uncertainty ,简称时钟不确定性。主要用来定义Clock信号到时序器件的Clock端可能早到或晚到的时间,降低了时钟抖动jitter对有效时钟周期的影响。

值得注意的是,在setup check中,clock uncertainty是代表着降低了时钟的有效周期;而在hold check中,clock uncertainty是代表着hold check所需要满足的额外margin。

来看下面一条reg2reg path. 对照着如下时钟波形图。可以写出下面的约束。

图片

图片

set_clock_uncertainty-from VIRTUAL_SYS_CLK -to SYS_CLK -hold 0.05

set_clock_uncertainty -from VIRTUAL_SYS_CLK -to SYS_CLK -setup 0.3

set_clock_uncertainty -from SYS_CLK -to CFG_CLK -hold 0.05

set_clock_uncertainty -from SYS_CLK -to CFG_CLK -setup 0.1

在pre-CTS的时候,我们将时钟的不确定性设定为target的skew和jitter值之和来模拟真实的时钟;而post-CTS之后,时钟树propagate delay已经确定,skew真实存在,所以uncertainty就是时钟的真实抖动值。因此preCTS的target skew不能设置的太大或者太小,这样会造成preCTS和postCTS的correlation不好。总结一下:

在pre-CTS中,

setup的clock uncertainty = jitter + clock tree skew

hold的clock uncertainty = clock tree skew

在post-CTS中,

setup的clock uncertainty = jitter

hold的clock uncertainty = 0

对于uncertainty的设置,每种工艺,或者每种设计来说,都不尽相同。一般来说,频率较高的时钟,我们可以设置相对较小的clock uncertainty;而频率较低的或者经过分频的时钟,我们可以把clock uncertainty加大一些。

对设计的不同阶段,clock uncertainty的设置也不一样,从design的initial阶段,经过place, cts, route, extraction, signoff等步骤,每个阶段都应该设置不同的clock uncertainty,给后续每个步骤预留margin,而且数值是越来越小的趋势。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 时序分析
    +关注

    关注

    2

    文章

    126

    浏览量

    22473
  • CTS
    CTS
    +关注

    关注

    0

    文章

    34

    浏览量

    13887
  • 时序分析器
    +关注

    关注

    0

    文章

    24

    浏览量

    5225
收藏 人收藏

    评论

    相关推荐

    时序分析中的一些基本概念

    时序分析是FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些
    发表于 10-21 09:28 1403次阅读

    详细介绍时序基本概念Timing arc

    时序分析基本概念介绍——Timing Arc
    的头像 发表于 01-02 09:29 2.4w次阅读
    详细<b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>基本概念</b>Timing arc

    FPGA设计中时序分析基本概念

    时序分析时FPGA设计中永恒的话题,也是FPGA开发人员设计进阶的必由之路。慢慢来,先介绍时序分析中的一些
    的头像 发表于 03-18 11:07 2143次阅读

    介绍时序分析基本概念lookup table

    今天要介绍时序分析基本概念是lookup table。中文全称时序查找表。
    的头像 发表于 07-03 14:30 759次阅读
    <b class='flag-5'>介绍</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>的<b class='flag-5'>基本概念</b>lookup table

    时序分析基本概念介绍&amp;lt;Operating Condition&amp;gt;

    今天我们要介绍时序分析概念是 **Operating Condition** 。也就是我们经常说的PVT环境,分别代表fabrication process variations(工
    的头像 发表于 07-04 10:57 2101次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Operating Condition&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Latency&amp;gt;

    今天要介绍时序分析基本概念是Latency, 时钟传播延迟。主要指从Clock源到时序组件Clock输入端的延迟时间。
    的头像 发表于 07-04 15:37 1475次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Latency&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Skew&amp;gt;

    今天要介绍时序分析基本概念是skew,我们称为偏差。
    的头像 发表于 07-05 10:29 2369次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Skew&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析Slew/Transition基本概念介绍

    今天要介绍时序分析基本概念是Slew,信号转换时间,也被称为transition time。
    的头像 发表于 07-05 14:50 1697次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>Slew/Transition<b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>

    时序分析基本概念介绍&amp;lt;spice deck&amp;gt;

    今天我们要介绍时序分析概念是spice deck。平时用得可能比较少,是PT产生的一个spice信息文件,可以用来和HSPICE做correlation。
    的头像 发表于 07-05 15:45 685次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;spice deck&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;generate clock&amp;gt;

    今天我们要介绍时序分析概念是generate clock。中文名为生成时钟。generate clock定义在sdc中,是一个重要的时钟概念
    的头像 发表于 07-06 10:34 1420次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;generate clock&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Critical Path&amp;gt;

    今天我们要介绍时序分析概念是Critical Path。全称是关键路径。
    的头像 发表于 07-07 11:27 720次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Critical Path&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;wire load model&amp;gt;

    今天我们要介绍时序分析基本概念是wire load model. 中文名称是线负载模型。是综合阶段用于估算互连线电阻电容的模型。
    的头像 发表于 07-07 14:17 616次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;wire load model&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Virtual Clock&amp;gt;

    今天我们介绍时序分析基本概念是Virtual Clock,中文名称是虚拟时钟。
    的头像 发表于 07-07 16:52 794次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Virtual Clock&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;ILM&amp;gt;

    今天我们要介绍时序分析基本概念是ILM, 全称Interface Logic Model。是一种block的结构模型。
    的头像 发表于 07-07 17:26 2232次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;ILM&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;

    时序分析基本概念介绍&amp;lt;Combinational logic&amp;gt;

    今天我们要介绍时序分析概念是Combinational logic. 中文名组合逻辑单元。这是逻辑单元的基本组成器件。
    的头像 发表于 07-10 14:31 508次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b><b class='flag-5'>基本概念</b><b class='flag-5'>介绍</b>&<b class='flag-5'>amp</b>;<b class='flag-5'>lt</b>;Combinational logic&<b class='flag-5'>amp</b>;<b class='flag-5'>gt</b>;