0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

介绍基本的sdc时序特例

冬至子 来源:数字后端IC芯片设计 作者:Tao涛 2023-07-03 15:34 次阅读

今天我们要介绍的基本sdc是 时序特例 ,也就是我们常说的Path exception。针对一些路径需要的一些特殊设定,常用的有set_false_path, set_multicycle_path, set_max_delay,set_min_delay等

set_false_path : false path是指在正常工作环境下,并不真实存在或者经过的路径,比如说一些静态输入信号产生的path。像这样的path,我们可以指定set_false_path。这样工具在计算timing时就不会去分析它。值得注意的是,设定false path时一定要非常小心,一定要和designer仔细确认下,不要把正常的path给误伤掉。

例: set_false_path-from ff1/CK -through {u1/Y} -to ff2/D

set_multicycle_path : 指的是两个寄存器之间数据要经过多个时钟才能稳定的路径,一般出现于组合逻辑较大的那些路径,也有可能是一些慢时钟去踩快时钟的路径。对于这些路径,我们可以设置multicycle path。同样的,设置multicycle path时,也需要非常谨慎,需要和前端沟通好,该条path要设几个cycle, -start还是-end也要理解清楚,而且默认的hold的multicycle比setup少一个。

图片

例:

set_multicycle_path 2 -setup -from ff1/CP -to ff2/D

set_multicycle_path 1 -hold -from ff1/CP -to ff2/D

set_max_delay: 指定某段path的最大延迟,超过就是violation。用于某些特定的path,限定该path只能走这么长delay.

例:set_max_delay 8.0 -from {ff1/CP} -to {ff2/D}

set_min_delay: 指定某段path的最小延迟,小于该值就是violation。用于某些特定的path,限定该path至少应该走这么长delay.

例:set_min_delay 4.0 -from {ff1/CP} -to {ff2/D}

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5031

    浏览量

    117734
  • SDC
    SDC
    +关注

    关注

    0

    文章

    47

    浏览量

    15302
  • 时序分析器
    +关注

    关注

    0

    文章

    24

    浏览量

    5225
收藏 人收藏

    评论

    相关推荐

    时序分析的设计约束(SDC

    使用SDC命令create_clock创建时钟,时钟周期20,占空比50%的时钟信号;
    的头像 发表于 11-08 09:12 5762次阅读

    Sunlord SDC***C系列和SDC***Q系列有何差别?

    Sunlord SDC***C系列和SDC***Q系列有何差别?
    发表于 10-16 20:24

    时序约束 专版

    TimeQuest Timing Analyzer为各种各样的时钟配置和典型时钟提供许多SDC命令。 这个章节将介绍SDC可用的应用编程接口,以及描述指定的时钟特性。 时钟(Clocks
    发表于 05-16 18:51

    FPGA 时序违规 求助

    clk_100m时序综合后出现违规 ,SDC应该怎样修改?
    发表于 10-23 15:39

    请问时序约束文件SDC支持哪些约束?

    时序约束文件SDC支持哪些约束?
    发表于 08-11 09:27

    详细介绍下与时钟相关的命令

    时序分析基本概念介绍——时钟sdc
    的头像 发表于 01-27 09:18 6627次阅读

    介绍系统接口sdc

    今天要介绍的基本sdc是系统接口sdc。主要包括set_drive, set_driving_cell, set_input_transition, set_load这四条命令,都是和端口上的驱动负载有关.
    的头像 发表于 02-03 14:34 9559次阅读
    <b class='flag-5'>介绍</b>系统接口<b class='flag-5'>sdc</b>

    时序分析基本概念之生成时钟详细资料介绍描述

    今天我们要介绍时序分析概念是generate clock。中文名为生成时钟。generate clock定义在sdc中,是一个重要的时钟概念。
    的头像 发表于 09-24 08:12 8108次阅读
    <b class='flag-5'>时序</b>分析基本概念之生成时钟详细资料<b class='flag-5'>介绍</b>描述

    分享几个画时序图的软件

    既可以用于系统级的设计,用于时序分析和文档编制,也用于ASIC/FPGA设计中,用于接口规范,以及创建SDC时序约束。
    的头像 发表于 11-21 11:36 2289次阅读

    时序分析的设计约束SDC怎么写呢?

    使用SDC命令create_clock创建时钟,时钟周期20,占空比50%的时钟信号
    的头像 发表于 06-18 09:42 2665次阅读
    <b class='flag-5'>时序</b>分析的设计约束<b class='flag-5'>SDC</b>怎么写呢?

    SDC是如何炼成的?怎么去验收SDC呢?

    STA是由SDC驱动的,所以SDC的完整性、正确性和一致性直接决定着综合、布局布线以及STA的有效性。
    的头像 发表于 06-28 17:17 2307次阅读
    <b class='flag-5'>SDC</b>是如何炼成的?怎么去验收<b class='flag-5'>SDC</b>呢?

    时序分析基本概念—SDC概述

    今天我们要介绍时序概念是设计约束文件 **SDC** . 全称 ***Synopsys design constraints*** . SDC是一个设计中至关重要的一个文件。
    的头像 发表于 07-03 14:51 4148次阅读
    <b class='flag-5'>时序</b>分析基本概念—<b class='flag-5'>SDC</b>概述

    时序分析基本概念介绍—时钟sdc

    虽然sdc大大小小有上百条命令,但实际常用的其实就那么10几条。今天我们来介绍下与时钟相关的命令。
    的头像 发表于 07-05 10:57 1279次阅读
    <b class='flag-5'>时序</b>分析基本概念<b class='flag-5'>介绍</b>—时钟<b class='flag-5'>sdc</b>

    时序分析基本概念介绍&lt;generate clock&gt;

    今天我们要介绍时序分析概念是generate clock。中文名为生成时钟。generate clock定义在sdc中,是一个重要的时钟概念。
    的头像 发表于 07-06 10:34 1421次阅读
    <b class='flag-5'>时序</b>分析基本概念<b class='flag-5'>介绍</b>&lt;generate clock&gt;

    时序分析基本概念介绍时序库Lib

    今天主要介绍时序概念是时序库lib,全称liberty library format(以• lib结尾),
    的头像 发表于 07-07 17:15 1789次阅读
    <b class='flag-5'>时序</b>分析基本概念<b class='flag-5'>介绍</b>—<b class='flag-5'>时序</b>库Lib