0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

AND GATE的clock gating check简析

冬至子 来源:数字成长之路 作者:Alice 2023-06-29 15:28 次阅读

Gating Cell

一个cell的一个输入为clock信号,另一个输入为gating信号,并且输出作为clock使用,这样的cell为gating cell。图1中的and gate为gating cell。

图片

图1 gating cell

其中这个clock信号需要穿过gating cell,并且gating cell的fanout需要满足以下任意一点:1. 连接到register的ck pin;2. 连接到output pin或inout pin;3. 作为generated clock的master clock。gating信号不能是clock,或者是一个clock,但是不能穿过gating cell。图2中CLKA与CLKB均为clock,但是and gate的输出端定义了generated clock,master clock是CLKA,CLKB不会穿过and gate,这种情况下,PT工具会对and gate进行clock gating check。

图片

图2 两个clock输入的gating cell

AND GATE的clock gating check

在and gate与nand gate上,PT工具会进行active-high clock gating check, gating信号为高时,clock能通过gating cell;同时gating信号的变化需要在clock信号为低时进行,否则将会产生glitch。下面将会对and gate作为gating cell的timing关系进行分析。

图片

图3 gating cell为and gate

由图4可以看出,UAND0的gating信号需要在CLKB为低时变化。即UAND/A的信号的变化窗口为5-10ns, clock gating setup check时需要UAND0/A在CLKB上升沿之前变,clock gating hold check时需要UAND0/A在CLKB下降沿之后变。PT工具在进行setup/hold gating check时,如果library中没有定义gating cell的setup和hold time,工具会将setup与hold设置为0。我们也可以使用set_clock_gating_check指令设置setup与hold值。图5与图6分别是clock gating check setup与hold的timing报告。由于UAND0/A变得太快,在CLKB为高时变化,hold gating check有violation。

图片

图4 上升沿产生gating信号时序图

图片

图5 上升沿产生gating信号setup check report

图片

图6 上升沿产生gating信号hold check report

如果UDFF0采用下降沿触发,如图7~图10,setup与hold gating checks都能满足要求。

图片

图7 gating 信号下降沿产生

图片

图8 下降沿产生gating信号时序图

图片

图9 下升沿产生gating信号setup check report

图片

图10 下升沿产生gating信号hold check report

OR GATE的clock gating check

or gate与nor gate上PT工具会进行active-low clock gating check, gating信号为低时,clock能通过gating cell;同时gating信号的变化需要在clock信号为高时进行,否则将会产生glitch。下面将会对or gate作为gating cell的timing关系进行分析。如图11~图14,UDFF0是上升沿触发寄存器,使用or gate作为gating cell, setup与hold gating check均满足timing要求。

图片

图11 gating cell为or gate

图片

图12 gating cell为or gate时序图

图片

图13 or gate setup gating check report

图片

图14 or gate hold gating check report

Clock Gating with a MUX

PT工具识别到and gate与or gate这种简单功能的cell为gating cell时,会自动进行clock gating check。但是像mux或者xor这种复杂功能的cell,PT工具会给出一个warning, no clock gating check inferred。除非我们设置set_clock_gating_check指令。如果设置了指令, cell不符合gating cell的功能,PT工具也会给出一个warning。

图15中,CLKA与CLKB是周期为10,duty cycle为50%的时钟,当UMUX0/S变化时,需要保证CLKC已经关上了,CLKB处于低。类似active-high clockgating check。我们不关心的pin,UMUX0/I1,可以将clock gating check关掉。图17~图18为mux setup/hold gating check report。

图片

图15 mux做gating cell

图片

图16 mux切换时序

图片

图17 mux setup gating check report

图片

图18 mux hold gating check report

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 寄存器
    +关注

    关注

    30

    文章

    5042

    浏览量

    117800
  • 连接器
    +关注

    关注

    96

    文章

    12665

    浏览量

    133188
  • 触发器
    +关注

    关注

    14

    文章

    1694

    浏览量

    60432
收藏 人收藏

    评论

    相关推荐

    clock-gating的综合实现

    在ASIC设计中,项目会期望设计将代码写成clk-gating风格,以便于DC综合时将寄存器综合成clk-gating结构,其目的是为了降低翻转功耗。
    的头像 发表于 09-04 15:55 1263次阅读
    <b class='flag-5'>clock-gating</b>的综合实现

    浅析clock gating模块电路结构

    ICG(integrated latch clock gate)就是一个gating时钟的模块,通过使能信号能够关闭时钟。
    的头像 发表于 09-11 12:24 1153次阅读
    浅析<b class='flag-5'>clock</b> <b class='flag-5'>gating</b>模块电路结构

    【设计技巧】rtos的核心原理

    rtos的核心原理rtos全称real-time operating system(实时操作系统),我来简单分析下:我们都知道,c语句中调用一个函数后,该函数的返回地址都是放在堆栈中的(准确
    发表于 07-23 08:00

    Armv8.1-M PAC和BTI扩展

    1、Armv8.1-M PAC和 BTI 扩展Armv8-M通过Trustzone for Armv8-M, Memory Protection Unit (MPU) 和Privileged
    发表于 08-05 14:56

    RK3288 Android6.0系统RT5640播放时的Codec寄存器列表

    1、RT5640播放时的Codec寄存器列表Platform: RockchipOS: Android 6.0Kernel: 3.10.92Codec: RT5640此文给调试RT5640播放
    发表于 11-24 18:12

    OpenHarmony智慧设备开发-芯片模组RK3568

    产品需求。 典型应用场景: 影音娱乐、智慧出行、智能家居,如烟机、烤箱、跑步机等。 *附件:OpenHarmony智慧设备开发-芯片模组RK3568.docx
    发表于 05-16 14:56

    OpenHarmony应用核心技术理念与需求机遇

    一、核心技术理念 图片来源:OpenHarmony官方网站 二、需求机遇 新的万物互联智能世界代表着新规则、新赛道、新切入点、新财富机会;各WEB网站、客户端( 苹果APP、安卓APK)、微信
    发表于 09-22 16:12

    门控时钟检查(clock gating check)的理解和设计应用

    通过门控方式不同,一个门控时钟通常可以分为下面基类,
    的头像 发表于 06-19 16:49 1734次阅读
    门控时钟检查(<b class='flag-5'>clock</b> <b class='flag-5'>gating</b> <b class='flag-5'>check</b>)的理解和设计应用

    低功耗设计基础:Clock Gating

    大多数低功耗设计手法在严格意义上说并不是由后端控制的,Clock Gating也不例外。
    的头像 发表于 06-27 15:47 1174次阅读
    低功耗设计基础:<b class='flag-5'>Clock</b> <b class='flag-5'>Gating</b>

    低功耗之门控时钟设计

    充分考虑,在综合时(compile_ultra -gate_clock)即可自动mapping到clock gating结构上去。
    的头像 发表于 06-29 17:23 2279次阅读
    低功耗之门控时钟设计

    clock gate时序分析概念介绍

    今天我们要介绍的时序分析概念是clock gateclock gate cell是用data signal控制clock信号的cell,
    的头像 发表于 07-03 15:06 1714次阅读
    <b class='flag-5'>clock</b> <b class='flag-5'>gate</b>时序分析概念介绍

    Clock Gating的特点、原理和初步实现

    当下这社会,没有几万个Clock Gating,出门都不好意思和别人打招呼!
    的头像 发表于 07-17 16:50 2669次阅读
    <b class='flag-5'>Clock</b> <b class='flag-5'>Gating</b>的特点、原理和初步实现

    ASIC的clock gating在FPGA里面实现是什么结果呢?

    首先,ASIC芯片的clock gating绝对不能采用下面结构,原因是会产生时钟毛刺
    发表于 08-25 09:53 694次阅读
    ASIC的<b class='flag-5'>clock</b> <b class='flag-5'>gating</b>在FPGA里面实现是什么结果呢?

    gate_en信号中的clock gating有什么用呢?

    画出电路、画出波形,设计意图一目了然,笔者一直推荐这种设计方案风格,画出电路图、波形图绝对是设计辅助利器。
    的头像 发表于 10-16 09:55 317次阅读
    <b class='flag-5'>gate</b>_en信号中的<b class='flag-5'>clock</b> <b class='flag-5'>gating</b>有什么用呢?

    SOC设计中Clock Gating的基本原理与应用讲解

    SOC(System on Chip,片上系统)设计中,时钟信号的控制对于整个系统的性能和功耗至关重要。本文将带您了解SOC设计中的一种时钟控制技术——Clock Gating,通过Verilog代码实例的讲解,让您对其有更深入的认识。
    的头像 发表于 04-28 09:12 105次阅读