0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

STA-0.静态时序分析概述

冬至子 来源:RTL2GDS 作者:Benjamin 2023-06-27 11:43 次阅读

静态时序分析(Static Timing Analysis, 以下统一简称 STA )是验证数字集成电路时序是否合格的一种方法,其中需要进行大量的数字计算,需要依靠工具进行,但是我们必须了解其中的原理。

在综合工具(DC/Genus 等),布局布线工具(ICC2/Innovus 等),时序分析工具(PrimeTime/Tempus 等)中都嵌入了不同的STA引擎,这些引擎往往在时间和精度方面有一些折衷,但是目的就是以尽量小的误差去模拟物理器件和绕线的SPICE模型,从而更接近芯片生产出来后真实的性能。

为了更好地理解STA,有必要提一下时序仿真,它是另外一种验证数字集成电路时序是否合格的方法。下面用一张表来对比一下这两者之间的区别:

  • 第一点是激励波形,STA是不需要的激励波形的,但是需要SDC(Synopsys Design Constraint,时序约束),后续的文章会具体介绍SDC的内容, 而时序仿真时严重依赖激励波形的;
  • 第二点是完整度,STA能够对数字电路中所有的时序路径进行全面的检查,而时序仿真在覆盖率上有一定限制;
  • 第三点是效率,STA的比较简单,速度更快,而生成仿真需要的激励,建立仿真环境可能费时费力;
  • 第四点是鲁棒性,STA能够考虑到电路中串扰噪声以及OCV(On Chip Violation, 片上偏差)的影响,提高芯片制成后的良率,而时序仿真做不到这一点。

1.jpg

既然,STA在数字集成电路中如此不可或缺,那具体是由哪些人负责,又是做什么具体的工作呢?关于这个问题,在不同的公司各有不同,但是负责STA的人一般都会同时负责综合,生成SDC,标准单元工艺库的选择,时序签核(Timing Signoff)及相关标准的制定等等。他们需要对设计有一定了解,更加需要对工艺的时序特性有全面地掌握,在系统性能指标的定义时需要提供参考意见。作为芯片时序性能检查的最后的把关人,需要一定经验的积累,同时也需要敏锐发现并解决潜在新问题的能力。

当然,STA也有它的局限性,需要通过仿真进行交叉验证。下面简单列举几个方面:

  • STA针对的是数字电路,和模拟电路相关的路径无法通过STA验证
  • 数字电路中产生的不定态在STA不会验证,这个需要通过仿真进行仔细检查确认
  • 电路中不同状态机之间的同步需求不能通过STA来验证
  • 时钟生成电路的验证无法通过STA完成
  • 时序约束中会有例外情况,需要人工处理
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • SoC芯片
    +关注

    关注

    1

    文章

    535

    浏览量

    34478
  • OCV
    OCV
    +关注

    关注

    0

    文章

    23

    浏览量

    12475
  • SPICE仿真
    +关注

    关注

    1

    文章

    26

    浏览量

    6340
  • 静态时序分析

    关注

    0

    文章

    28

    浏览量

    9544
收藏 人收藏

    评论

    相关推荐

    [求助]静态时序分析时序仿真?

    自己做了一个工程,静态时序分析的结果CLK信号的SLACK是负值(-7.399ns),书上说该值是负值时说明时序不对,但是我感觉时序仿真的结
    发表于 03-03 23:22

    静态时序分析STA的优点以及缺点分别有哪些呢

    静态时序分析STA是什么?静态时序分析
    发表于 11-02 07:51

    静态时序分析与逻辑(华为内部培训资料)

    静态时序概念,目的 静态时序分析路径,方法 静态时序
    发表于 07-09 18:28 130次下载

    静态时序分析在高速 FPGA设计中的应用

    介绍了采用STA (静态时序分析)对FPGA (现场可编程门阵列)设计进行时序验证的基本原理,并介绍了几种与
    发表于 05-27 08:58 70次下载
    <b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>在高速 FPGA设计中的应用

    静态时序分析在IC设计中的应用

    讨论了静态时序分析算法及其在IC 设计中的应用。首先,文章讨论了静态时序分析中的伪路径问题以及路
    发表于 12-20 11:03 95次下载
    <b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>在IC设计中的应用

    静态时序分析基础及应用

    _静态时序分析(Static_Timing_Analysis)基础及应用[1]。
    发表于 05-09 10:59 31次下载

    静态时序分析基础及应用

    静态时序分析基础及应用
    发表于 01-24 16:54 7次下载

    时序分析基本概念——STA概述简析

    时序分析基本概念介绍——STA概述,动态时序分析,主要是通过输入向量作为激励,来验证整个设计的
    的头像 发表于 12-14 17:01 2.8w次阅读
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>基本概念——<b class='flag-5'>STA</b><b class='flag-5'>概述</b>简析

    静态时序分析基础与应用

    STA的简单定义如下:套用特定的时序模型(Timing Model),针对特定电路分析其是否违反设计者给定的时序限制(Timing Constraint)。以
    发表于 04-03 15:56 10次下载

    FPGA进行静态时序分析

    静态时序分析简称STA,它是一种穷尽的分析方法,它按照同步电路设计的要求,根据电路网表的拓扑结构,计算并检查电路中每一个DFF(触发器)的建
    发表于 09-01 10:45 2980次阅读
    FPGA进行<b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>

    时序分析静态分析基础教程

    本文档的主要内容详细介绍的是时序分析静态分析基础教程。
    发表于 01-14 16:04 14次下载
    <b class='flag-5'>时序</b><b class='flag-5'>分析</b>的<b class='flag-5'>静态</b><b class='flag-5'>分析</b>基础教程

    基本的时序约束和STA操作流程

    一、前言 无论是FPGA应用开发还是数字IC设计,时序约束和静态时序分析STA)都是十分重要的设计环节。在FPGA设计中,可以在综合后和实
    的头像 发表于 08-10 09:33 4885次阅读
    基本的<b class='flag-5'>时序</b>约束和<b class='flag-5'>STA</b>操作流程

    FPGA静态时序分析详解

    静态时序分析简称STA,它是一种穷尽的分析方法,它按照同步电路设计的要求,根据电路网表的拓扑结构,计算并检查电路中每一个DFF(触发器)的建
    的头像 发表于 09-27 14:45 1978次阅读

    静态时序分析的相关概念

      本文主要介绍了静态时序分析 STA
    的头像 发表于 07-04 14:40 604次阅读
    <b class='flag-5'>静态</b><b class='flag-5'>时序</b><b class='flag-5'>分析</b>的相关概念

    STA分析-从一个案例开始

    静态时序分析STA)是用来分析数字电路是否满足时序目标的技术手段之一。比如,检查CPU电路是否
    的头像 发表于 07-05 15:01 920次阅读
    <b class='flag-5'>STA</b><b class='flag-5'>分析</b>-从一个案例开始