0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

这17种焊接陷阱,你遇到过多少?

华秋商城 2022-04-14 09:39 次阅读

良好的焊接是保证电路稳定持久工作的前提。下面给出了常见到的焊接缺陷。看看你遇到过多少种?

73ec4f50-ba7f-11ec-82f6-dac502259ad0.png焊接中的常见问题740af716-ba7f-11ec-82f6-dac502259ad0.gif▲陷阱1:锡珠74228746-ba7f-11ec-82f6-dac502259ad0.png

▲陷阱2:扰动的焊接——在焊接点冷却过程中焊锡移动,造成焊接表面起雾、结晶、粗糙。

743bfe2e-ba7f-11ec-82f6-dac502259ad0.gif▲陷阱3:立碑74555716-ba7f-11ec-82f6-dac502259ad0.png▲陷阱4:冷结——焊锡没有充分融化便开始冷却,焊接表面不均匀。746ab9d0-ba7f-11ec-82f6-dac502259ad0.gif▲陷阱5:桥连

747ede1a-ba7f-11ec-82f6-dac502259ad0.png

▲陷阱6:过热——由于焊锡过热,焊锡顺着焊缝溜走,所剩下的焊锡不足以焊劳引脚了。

74af8056-ba7f-11ec-82f6-dac502259ad0.gif▲陷阱7:共面

74c24218-ba7f-11ec-82f6-dac502259ad0.png▲陷阱8:助焊剂不足,造成焊锡与焊盘之间没有充分浸润。

74ff46d6-ba7f-11ec-82f6-dac502259ad0.gif▲陷阱9:针孔、吹孔、裂纹75222084-ba7f-11ec-82f6-dac502259ad0.png▲陷阱10:焊锡过多、过少。焊锡过少降低了焊接强度;过多时则容易使得焊锡底部没有良好浸润。75589c0e-ba7f-11ec-82f6-dac502259ad0.gif▲陷阱11:偏移

7572e2d0-ba7f-11ec-82f6-dac502259ad0.png▲陷阱12:管脚没有修剪:容易造成焊接线相互之间短路

75919914-ba7f-11ec-82f6-dac502259ad0.gif▲陷阱13:焊锡太多、太少

75ad04f6-ba7f-11ec-82f6-dac502259ad0.png▲陷阱14:焊盘脱落:很容易造成短路75d9e5e8-ba7f-11ec-82f6-dac502259ad0.png▲陷阱15:利用管脚修复脱落的焊盘

75fc8ed6-ba7f-11ec-82f6-dac502259ad0.gif▲陷阱16:元件放错

76295e52-ba7f-11ec-82f6-dac502259ad0.png▲陷阱17:四处散落的焊锡。容易引起电路短路,需要经过清洗去除这些散落的焊锡。

碰到后面的问题不要烦恼,还是需要花点时间来解决。只要耐心,上面大部分的焊接缺陷都可以被修复,如果焊锡始终不听话,你可以:

停止加热,让焊盘与你的心情同时冷静下来;

清理你的烙铁头并上锡;

将焊盘附近的助焊剂清理;

重新拿烙铁加热焊盘和引脚;

经过几次尝试,便可以解决前面焊接问题。

华秋商城电子工程师专区送福利!四重福利等你来享!爆款工具1元包邮,万用表、电烙铁、蓝牙开发板等工具尽享低价...送电子发烧友VIP月卡,再送145元优惠券!

>>点击进入电子工程师专区<<764e87e0-ba7f-11ec-82f6-dac502259ad0.jpg765a56ec-ba7f-11ec-82f6-dac502259ad0.png

关于华秋商城

华秋商城是国内领先的电子元器件采购一站式服务平台,与3000多家原厂合作,自营现货20万+,全球SKU 2000万+,2小时极速发货!

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 焊接
    +关注

    关注

    38

    文章

    2749

    浏览量

    58202
收藏 人收藏

    评论

    相关推荐

    STM8S003F4P6烧写不进程序是什么原因导致的?

    STM8的时候SWIM的接口已经让我受尽苦头。板子很简单,已经是第二版了。 第一版,遇到过问题。不过当时花了半个小时之后。重新焊接了STM8之后,竟然好了。 按照我的理解电路简单坏
    发表于 04-22 07:14

    关于STM32CubeMX FatFs遇到的问题求解

    () 和 osSemaphoreWait()函数, 这两个函数在CMSIS V1 中有定义,但我在CubeMX中选择CMSIS V2 为啥syscall.c 中还是使用了 v1 的函数,有没有人遇到过,望能指点一下。 感谢!
    发表于 04-09 07:39

    芯片烧坏时发生了什么?为什么总是VDD短路?甚至封装开裂冒烟?

    相信大家在MCU调试和生产测试阶段遇到过这样的情况,芯片发烫不工作,甚至芯片冒起一阵青烟
    的头像 发表于 01-19 10:34 954次阅读
    芯片烧坏时发生了什么?为什么总是VDD短路?甚至封装开裂冒烟?

    详解Java DEBUG的基本原理

    Debug 的时候,都遇到过手速太快,直接跳过了自己想调试的方法、代码的时候吧……
    的头像 发表于 01-05 10:10 556次阅读
    详解Java DEBUG的基本原理

    LTM4643 BGA的焊接问题求解

    我们单板在用LTM4643,在工厂生产的时候发现这个芯片BGA有焊接问题,切片报告显示: 1. failure的点在下图红线的那一竖排的pin1/2/3. 2. 断裂面比较平整 请问这个问题一般是什么原因导致?你们客户是否有遇到过类似的问题? 谢谢
    发表于 01-05 07:59

    defer的这些坑,你遇到过吗?

    结论:延迟函数 fmt.Println(a) 的参数在 defer 语句出现的时候就已经确定下来了,所以不管后面如何修改 a 变量,都不会影响延迟函数
    的头像 发表于 12-01 09:28 205次阅读

    Python项目中遇到的10个安全陷阱

    极少的微妙之处或细节会使开发者们疏忽大意,从而在代码中引入严重的安全漏洞。 在这篇博文中,我们将分享在实际 Python 项目中遇到的 10 个安全陷阱。我们选择了一些在技术圈中不太为人所知的陷阱。通过介绍每个问题及其造成的影响
    的头像 发表于 10-30 10:34 242次阅读

    请问各位在0使用M0外部晶振时遇到过频率偏低的问题吗?

    请问各位在0使用M0外部晶振时遇到过频率偏低的问题吗?我在最近遇到了使用36MHz晶振时有大约3.3%的片子频率偏低,在2013年8月还遇到过一次,换了晶振和电阻电容都不管用,只有换了M0片子才管用,难道M0震荡部分有缺陷?
    发表于 08-24 06:56

    linux内核的那些坑儿,遇到过吗 - 第17节 #硬声创作季

    模块Linux开发程序
    充八万
    发布于 :2023年08月17日 13:53:33

    MODBUS TCP转CCLINK IE协议网关如何转换不同协议

    你是否曾经遇到过需要将不同的设备连接到一个统一的网络中?或者你是否曾经遇到过设备之间的通讯协议不兼容的问题?捷米的JM-CCLKIE-TCP通讯网关就是为解决这些问题而设计的。
    的头像 发表于 08-10 19:24 687次阅读
    MODBUS TCP转CCLINK IE协议网关如何转换不同协议

    PROFINet与Modbus协议通讯网关连接RS485接口的变频器

    你是否曾经遇到过不同网络协议之间的沟通问题?捷米特JM-RTU-PN为你解决这个难题!
    的头像 发表于 07-23 09:05 338次阅读
    PROFINet与Modbus协议通讯网关连接RS485接口的变频器

    MODBUS TCP转CCLINK IE协议网关如何转换不同协议

    你是否曾经遇到过需要将不同的设备连接到一个统一的网络中?或者你是否曾经遇到过设备之间的通讯协议不兼容的问题?远创智控的YC-CCLKIE-TCP通讯网关就是为解决这些问题而设计的。
    的头像 发表于 07-21 14:41 266次阅读
    MODBUS TCP转CCLINK IE协议网关如何转换不同协议

    Profibus和Modbus总线转换网关profibus和profinet区别

    你是否曾经遇到过需要将MODBUS-RTU设备接入到PROFIBUS-DP网络中的情况?
    的头像 发表于 07-21 09:13 866次阅读
    Profibus和Modbus总线转换网关profibus和profinet区别

    浅谈RTL中常见的bug

    在你们的工作中都遇到过哪些bug呢?下面这些你见过没?
    的头像 发表于 07-15 14:08 583次阅读
    浅谈RTL中常见的bug

    看看这个&quot;UVM陷阱&quot;,你是不是也遇到过

    设计一个run函数用于处理某些业务逻辑,并在UVC的main_phase中调用。看似简单的逻辑,运行仿真后得到如下的信息:
    的头像 发表于 05-08 10:45 518次阅读
    看看这个&quot;UVM<b class='flag-5'>陷阱</b>&quot;,你是不是也<b class='flag-5'>遇到过</b>