0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

聊一聊所谓的Objection机制

sanyue7758 来源:芯片学堂 2023-06-09 11:16 次阅读

Objection是UVM框架中用来同步不同组件的相同phase,以及决定当前测试用例什么时候结束(end-of-test)的机制,这也是用户在拿到UVM之后最直白的使用场景。本篇文章除了介绍Objection的工作原理和常规使用方式,还会介绍Objection的一些非常规用法。

01 Objection工作原理

为什么把同步phase或者说管理仿真结束的机制叫做Objection?这是个没有多少实际意义的问题,不过理清楚之后,也许你再也不会忘了Objection机制的用途。

按照UVM reference的介绍:“Objections provide a facility for coordinating status information between two or more participating components, objects, and even module-based IP. ” 这个描述还是比较抽象,说Objection用于协调多个对象之间的状态信息,不过可以简单理解成就是“同步”。

本系列前面的文章【深入Phase机制,看懂Phase机制实现原理】介绍到,基于UVM的仿真程序执行严格按照Phase来执行,而每一级phase都按照UVM定义的状态机做跳转,其中有一个状态比较特殊,叫UVM_PHASE_READ_TO_END。

这个状态的特点是:只有当同级phase的所有objection都被撤销(drop)之后(通过计数器实现),才会进入该状态;进入该状态之后,会遍历执行验证组件中定义的phase_ready_to_end回调函数,待执行完成之后且没有objection被置起(raise),才会跳转到下一个状态UVM_PHASE_ENDED。

54e20b0e-05e7-11ee-962d-dac502259ad0.png

按照这个逻辑,以耗时(time-consuming)phase为例,这里忽略pre_*和post_*的phase,可以得到下面这个执行流图。图中从左往右表示执行的先后顺序,可以看到,相同phase都是在同一时刻开始往后执行,也就实现了“同步”。

5517994a-05e7-11ee-962d-dac502259ad0.png

最后怎么去理解“Objection”这个词?可以这么说,仿真执行过程中,仿真程序会不停地问:“我要进入下一个phase了,还有谁有意见?”,那些还没有执行完的phase线程就会大喊:“我反对我反对!”,于是仿真程序就等在那了,最终只有等到所有人都执行完了,才得以退出当前phase。Objection也符合“a feeling or expression of disapproval”的释义了。

02 Objection常规用法

Objection的常规用法很简单,在很多文章中都会提到,即raise_objection(),drop_objection() 和 set_drain_time()。这三件套是我们应用Objection机制时最常用到的方法。

Objection计数器在每次调用raise_objection()和drop_objection()会进行增减。在实际应用中,不建议在很多组件的耗时phase中都去raise-drop objection,两个原因:一个是objection的控制会比较分散,不便于objection的管理;另一个是反复的调用会使得仿真效率比较低。可以考虑在测试用例顶层和sequence中进行objection的控制。示例代码如下:

552bf732-05e7-11ee-962d-dac502259ad0.png

以上是UVM 1.1对objection的用法。到了UVM 1.2,弃用了uvm_sequence_base:: starting_phase这个变量,改用set_starting_phase和get_starting_phase两个方法;弃用了raise_objection和drop_objection两个方法,改用uvm_sequence_base:: set_automatic_phase_objection。这些改进的目的是优化objection的使用体验,UVM library帮你多省掉了一些代码和出错的机会。示例代码如下:

55609898-05e7-11ee-962d-dac502259ad0.png

set_drain_time()方法的使用场景是在objection都撤销了之后,往后延长仿真结束的时间。这么做的目的是让DUT有多余的时间去完成动作,使其完整响应我们输入的测试激励。

除了set_drain_time()方法可以解决这个问题,我们还可以在撤销objection之前去做一些有意义的条件判断,也可以在test的phase_ready_to_end回调函数中去实现一些检查逻辑。不过还是set_drain_time()用的多。

03 Objection非常规用法

非常规用法指的是平时不怎么用得到的使用场景,但这些使用方法在有些场景下会有用,比如调试。

追踪Objection状态用的命令行参数+UVM_OBJECTION_TRACE。当仿真命令加上该参数,就可以在仿真日志中看到谁谁谁置起了objection,谁谁谁撤销了objection,以及objection计数器的数值等等。

另外我们可以通过phase.get_objection()拿到负责同步当前phase的objection句柄,之后就可以调用该句柄有的一些方法。比如get_drain_time()方法可以返回当前objection对象的排空时间(drain time);clear()方法可以直接清零当前objection对象的计数器,且释放对当前phase的同步;display_objections()方法可以打印该objection对象的具体信息等。





审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 比较器
    +关注

    关注

    14

    文章

    1526

    浏览量

    106493
  • 计数器
    +关注

    关注

    32

    文章

    2123

    浏览量

    92987
  • UVM
    UVM
    +关注

    关注

    0

    文章

    181

    浏览量

    18965
  • DUT
    DUT
    +关注

    关注

    0

    文章

    180

    浏览量

    11998

原文标题:SystemVerilog | UVM | 使用Objection管理仿真结束

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    我专帮人解决洗衣机程控板的问题?有的话请系我,我QQ649866336

    我专帮人解决洗衣机程控板的问题?有的话请系我,我QQ649866336
    发表于 10-05 19:46

    为什么正在QQ时,系统消息提示“已被迫下线”?

    为什么正在QQ时,系统消息提示“已被迫下线”?如果您正在使用QQ聊天时,QQ突然意外离线,并提示如下信息:则说明您的QQ此时已经在其他计算机上成功登录。如果您本人没有在其他计算机上登录这个QQ帐号
    发表于 02-05 11:24

    电子工程师之家QQ群150628376,线上线下交朋友,谈工作,...

    电子工程师之家150628376,线上线下交朋友,谈工作,人生。。喜欢的顶起。
    发表于 09-27 16:24

    Linux 下用UDP实现群聊单

    Linux 下用UDP实现群聊单,能够实现单和群聊。程序不长,可以作为学习网络的检测。
    发表于 07-19 23:37

    下阻抗匹配

    下阻抗匹配,电路加个阻抗匹配网络,搞成和负载样的阻抗,这样岂不是多了个假负载?不是损耗了效率吗?而事实上刚好相反,哪个坛友分析下缘故
    发表于 10-31 17:55

    tws芯片的问题

    `tws般指真无线耳塞,算是彻底摆脱了线材的束缚其实tws很早就有厂家在做了,但是不如苹果的原因是tws所需要的蓝牙芯片比方说现在的大部分tws塞子,都是左耳或者右耳是蓝牙主机,用来连接手机另
    发表于 12-27 14:52

    IC验证“UVM验证平台加入objection机制和virtual interface机制“(七)

    在上节中,**《IC验证"UVM验证平台加入factory机制"(六)》**虽然输出了“main_phase is called”,但是“data is drived”并没有
    发表于 12-09 18:28

    Altium中Fill,Polygon Pour,Plane的区别和用法

    Fill会造成短路,为什么还用它呢?来Altium中Fill,Polygon Pour,Plane的区别和用法
    发表于 04-25 06:29

    你知道的和不知道的电流镜

    这期来点轻松的,你知道的和不知道的电流镜。电流源可算是模拟集成电路中最基础的内容,也是有很多花样的基本单元。电流源是笼统的叫法,具体会根据电流的流向,分别叫做电流源(Current
    发表于 06-24 06:56

    stm32的低功耗调试

    前言:物联网的大部分设备都是电池供电的,设备本身低功耗对延长设备使用至关重要,今天就实际调试总结stm32的低功耗调试。1、stm32在运行状态下的功耗上图截图自stm32l15x手册
    发表于 08-11 08:18

    7系列FPGA的供电部分

    前几篇咱们说了FPGA内部逻辑,本篇咱们再聊7系列FPGA的供电部分。首先咱们说spartan7系列,通常咱们需要使用以下电源轨:1,VCCINTFPGA内部核心电压。其不损坏FPGA器件的范围
    发表于 11-11 09:27

    下GS的波形

    对于咱们电源工程师来讲,我们很多时候都在看波形,看输入波形,MOS开关波形,电流波形,输出二极管波形,芯片波形,MOS管的GS波形,我们拿开关GS波形为例来下GS的波形。我们测试MOS管GS波形
    发表于 11-16 09:15

    下OK1012A-C的网络性能

    号外号外!继OK1012A-C面市以来,飞凌嵌入式公司相继推出了OK1043A-C、OK1046A-C,以及最新上市的OK1028A-C,OK10XX系列产品也是个大家族了。正所谓春兰秋菊
    发表于 12-20 08:32

    平衡小车代码的实现

    前言今天代码,只有直立功能的代码。代码总体思路给定个目标值,单片机通过IIC和mpu6050通信,得知数据后,根据角度环计算出个P
    发表于 01-14 08:29

    串口环形队列常用的几种方法

    1、串口常用的几种方式查询方式可靠性很高,要考虑下个数据包覆盖上个数据包的问题,小数据量,在10个字节以内,可以这样考虑, 很简单,很方便,很可靠。但是在数据量大的时候,程序阻
    发表于 07-21 15:17