0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

关于JTAG口,你了解多少?

玩转嵌入式 来源:FPGA技术江湖 2023-06-07 12:35 次阅读

FPGA研发及学习过程中,有一个关键步骤就是下板实现,做硬件“硬现”很重要,一般来说用JTAG口比较常见一些,因此相信肯定有些大侠遇到过JTAG口失灵或者损坏无法使用的事情。最近我就遇到了这类事情,FPGA的JTAG口突然就不能下载程序了,而且这种事情已经不是第一次了,之前在做项目的时候也出现过,而且出现的形式也极其相似,之前还用的好好的,第二天就不行了,真是让人郁闷。为此,本人也是去尝试了很多解决办法,一开始也没有去设想是JTAG口坏了,于是乎,本人换了usb-blaster,可一点反应也没有。难道真的是JTAG口坏了?于是,本人就去查阅相关资料去搞清楚问题的本质在哪里,下面就是本人的一些收获,分享出来,仅供各位大侠参考,一起交流学习。

根据查阅资料及本人的一些实践经验所得,在使用JTAG下载接口的过程中,请不要随意带电插拔,否则会损坏FPGA芯片的JTAG口信号管脚。那么如何去确认JTAG口已经损坏了呢。首先你要去排除基本的几项因素,一是,是否匹配连接,有很多设备会对应很多接口,在实际条件下要匹配正确,否则也会出现上述情况;二是,排除下载线的问题,如果是下载线坏了,可以使用多根下载线去尝试,排除这类问题。如果还是不能访问FPGA的JTAG口,那么很有可能你的FPGA芯片的JTAG口已经损坏。此时请用万用表检查TCK,TMS,TDO和Tdi是否和GND短路,如果任何一个信号对地短路则表示JTAG信号管脚已经损坏。

至于JTAG口是什么,这里我们也来探讨一下,JTAG英文全称是 Joint Test Action Group,翻译过来中文就是联合测试工作组。

JTAG是一种IEEE标准用来解决板级问题,诞生于20世纪80年代。今天JTAG被用来烧录、debug、探查端口。当然,最原始的使用是边界测试。

1、边界测试

举个例子,你有两个芯片,这两个芯片之间连接了很多很多的线,怎么确保这些线之间的连接是OK的呢,用JTAG,它可以控制所有IC的引脚。这叫做芯片边界测试。

wKgZomRnYYqABThGAAAP5EQwa2g807.png

2、JTAG引脚

JTAG发展到现在已经有脚了,通常四个脚:TDI,TDO,TMS,TCK,当然还有个复位脚TRST。对于芯片上的JTAG的脚实际上是专用的。

TDI:测试数据输入,数据通过TDI输入JTAG口;

TDO:测试数据输出,数据通过TDO从JTAG口输出;

TMS:测试模式选择,用来设置JTAG口处于某种特定的测试模式;

TCK:测试时钟输入;

TRST:测试复位。

wKgaomRnYYuAGH4hAAASEciZR24124.png

CPU和FPGA制造商允许JTAG用来端口debug;FPGA厂商允许通过JTAG配置FPGA,使用JTAG信号通入FPGA核。

3、JTAG如何工作

PC控制JTAG:用JTAG电缆连接PC的打印端口或者USB或者网口。最简单的是连接打印端口。

TMS:在每个含有JTAG的芯片内部,会有个JTAG TAP控制器。TAP控制器是一个有16个状态的状态机,而TMS就是这玩意的控制信号。当TMS把各个芯片都连接在一起的时候,所有的芯片的TAP状态跳转是一致的。下面是TAP控制器的示意图:

wKgZomRnYYyAGVPkAAAfrPAZ5Uk899.png

改变TMS的值,状态就会发生跳转。如果保持5个周期的高电平,就会跳回test-logic-rest,通常用来同步TAP控制器;通常使用两个最重要的状态是Shift-DR和Shift-IR,两者连接TDI和TDO使用。

IR:命令寄存器,你可以写值到这个寄存器中通知JTAG干某件事。每个TAP只有一个IR寄存器而且长度是一定的。

DR:TAP可以有多个DR寄存器,与IR寄存器相似,每个IR值会选择不同的DR寄存器。(很迷)

4、JTAG链相关疑问

计算JTAG链中的IC数目:

一个重要的应用是IR值是全一值,表示BYPASS命令,在BYPASS模式中,TAP控制器中的DR寄存器总是单bit的,从输入TDI到输出TDO,通常一个周期,啥也不干。

可用BYPASS模式计算IC数目。如果每个IC的TDI-TDO链的延迟是一个时钟,我们可以发送一些数据并检测它延迟了多久,那么久可以推算出JTAG链中的IC数目。

得到JTAG链中的器件ID:

大多数的JTAG IC都支持IDCODE命令。在IDCODE命令中,DR寄存器会装载一个32bit的代表器件ID的值。不同于BYPASS指令,在IDCODE模式下IR的值没有标准。不过每次TAP控制器跳转到Test-Logic-Reset态,它会进入IDCODE模式,并装载IDCODE到DR。

5、边界扫描:

wKgaomRnYY6AWCGtAAAS72iCvNM270.png

TAP控制器进入边界扫描模式时,DR链可以遍历每个IO块或者读或拦截每个引脚。在FPGA上使用JTAG,你可以知晓每个引脚的状态当FPGA在运行的时候。可以使用JTAG命令SAMPLE,当然不同IC可能是不同的。

wKgZomRnYZCATnx-AAAh0zV6EBQ387.jpg

如果JTAG口已经损坏了,那只能“节哀顺变”了,但是也不要只顾着伤心,最重要的是分析其中的原因,做其他事情也是一样的道理。那我们就来分析分析,我们在使用的过程中,可能经常为了方便,随意插拔JTAG下载口,在大多数情况下不会发生问题。但是仍然会有很小的机率发生下面的问题,因为热插拔而产生的JTAG口的静电和浪涌,最终导致FPGA管脚的击穿。至此,也有人怀疑是否是盗版的USB Blaster或者ByteBlasterII设计简化,去除了保护电路导致的。但经过很多实际情况的反馈,事实证明原装的USB Blaster 也会发生同样的问题。也有人提出质疑是否是ALTERA的低端芯片为了降低成本,FPGA的IO单元没有加二极管钳位保护电路。这类质疑其实都不是解决问题的本质,最重要的是我们要规范操作,尽可能的去减少因为实际操作不当导致一些硬件设备、接口等提前结束寿命或“英年早逝”,那重点来了,关于JTAG下载口的使用,我们需要如何去规范操作呢。

上电时的操作流程顺序:

1.在FPGA开发板及相关设备断电的前提下,插上JTAG下载线接口;

2.插上USB Blaster或者ByteBlasterII的电缆;

3.接通FPGA开发板的电源

下电时的操作流程顺序:

1.断开FPGA开发板及相关设备的电源;

2.断开USB Blaster或者ByteBlasterII的电缆;

3.拔下JTAG下载线接口,并放置适宜地方存储。

虽然上述的操作步骤有点繁琐,有时我们在使用的时候也是不以为然,但是为了保证芯片不被损坏,建议大家还是中规中矩的按照上述的步骤来操作。本人上述出现的问题,经过检测后就是TCK跟GND短路了,虽然发生的概率不是很大,但是为了能够更合理更长久的的使用硬件相关设备,还是建议大家在实操过程中,不要担心繁琐,中规中矩操作,换个角度思考,“多磨多练”也是对自己有好处的。最后,还是给各位唠叨一句,关于JTAG下载口的使用最好不要带电热插拔,起码可以让JTAG口“活”的久一些,毕竟长情陪伴也是挺不错的,不要等到失去了才知道惋惜。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593201
  • 寄存器
    +关注

    关注

    30

    文章

    5028

    浏览量

    117723
  • JTAG
    +关注

    关注

    6

    文章

    383

    浏览量

    71151
  • 引脚
    +关注

    关注

    16

    文章

    1044

    浏览量

    48963

原文标题:关于JTAG口,你了解多少?

文章出处:【微信号:玩转嵌入式,微信公众号:玩转嵌入式】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    关于JTAG了解多少?

    ,排除这类问题。如果还是不能访问FPGA的JTAG,那么很有可能的FPGA芯片的JTAG已经损坏。此时请用万用表检查TCK,TMS,T
    发表于 01-19 21:19

    如何实现对JTAG的控制。。。。

    小妹正在做一个软件,想通过编程把数据传到PC的并口在从其与jtag接口相连通过从JTAGTDI串入数据和指令,再从TDO串出扫描结果,在主机上进行比对以实现测试,目前我主要用VC来编程。请问一下我
    发表于 08-15 16:21

    cyclone4的JTAG的问题

    `网上看到了各种版本,有说JTAG要用3.3V,有说要用2.5V的。手头有个USB_BLASTER的下载器。不知道具体要用多少伏的。还有一个问题,看官方手册,说JTAG的6脚不接。
    发表于 10-18 11:29

    关于高清信号的静电防护方案了解吗?

    关于高清信号的静电防护方案了解吗?下面介绍一下:高清信号静电防护方案描述:随着芯片处理器设计技术的发展,高速信号传输技术已越来越成熟,
    发表于 11-15 10:44

    FPGA的JTAG为什么容易损坏?

    现象: 在排除了下载线的问题后,还是不能访问FPGA的JTAG,那么很有可能的FPGA芯片的JTAG已经损坏。此时请用万用表检查TCK
    发表于 05-31 07:01

    关于模块,了解多少?

    `  电模块是光模块的一种类型,也叫光电转换光模块,它具有独特的优势。电模块符合SFP MSA和IEEE Std 802.3-2002标准,具有功耗低、性能高、设计紧凑的特点。  什么是电模块
    发表于 10-10 11:40

    听过蓝牙的知识产权吗?关于蓝牙的知识产权了解多少?

    听过蓝牙的知识产权吗?关于蓝牙的知识产权了解多少?蓝牙的测试认证可以分为哪几个方向?
    发表于 06-17 08:04

    如何去使用JTAG?使用JTAG需要注意什么事项?

    AVR单片机使用JTAG作为普通I/O的方法有哪几种?JTAG的配置方式是什么?如何去使用JTAG
    发表于 07-07 06:22

    关于51单片机的IO的学习看完就懂了

    关于51单片机的IO的学习看完就懂了
    发表于 09-28 06:58

    JTAG如何配置?怎么使用?

    JTAG如何配置?怎么使用?
    发表于 10-29 07:22

    SWD和JTAG接口的含义和区别

    作为嵌入式软件工程师,下载调试器都应该知道,但真正了解其SWD 和 JTAG接口的含义和区别吗?今天分享一篇公众号【嵌入式专栏】整理的关于 SWD 和
    发表于 12-10 07:24

    今日说“法”:是谁动了我的JTAG

    ,排除这类问题。如果还是不能访问FPGA的JTAG,那么很有可能的FPGA芯片的JTAG已经损坏。此时请用万用表检查TCK,TMS,T
    发表于 05-19 19:47

    ARM JTAG 调试原理

    ARM JTAG 调试原理对于了解jtag结构由很好的帮助。
    发表于 03-10 14:08 10次下载

    嵌入式JTAG接口你了解多少

    JTAG是串行接口,使用打印口的简单JTAG电缆,利用的是打印口的输出带锁存的特点,使用软件通过I/O产生JTAG时序。
    发表于 10-18 11:47 2105次阅读

    什么是JTAG口?今日带你深度了解JTAG

    在FPGA研发及学习过程中,有一个关键步骤就是下板实现,做硬件“硬现”很重要,一般来说用JTAG口比较常见一些,因此相信肯定有些大侠遇到过JTAG口失灵或者损坏无法使用的事情。
    的头像 发表于 03-17 14:05 1.1w次阅读
    什么是<b class='flag-5'>JTAG</b>口?今日带你深度<b class='flag-5'>了解</b><b class='flag-5'>JTAG</b>口