0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

采用先进成熟工艺和自主产权体系结构,紫光同创FPGA开发板入门指导

电子发烧友论坛 来源:未知 2023-06-07 12:25 次阅读

紫光盘古1~2K开发板

本次将为大家揭秘紫光盘古系列高性能入门级1K2K开发板。1K2K开发板以紫光Compact系列PGC1KG-LPG100/PGC2KG-LPG100器件为核心,满足低功耗、低成本、小尺寸需求。

本期将为大家推出紫光同创FPGA 1K2K 开发板入门教程分享!

695868f4-04e7-11ee-90ce-dac502259ad0.png

1.FPGA入门指导:对多LED灯的控制

控制8个LED以1s的周期闪烁(0.5s亮,0.5s灭)

通常的时,分,秒的计时进位大家应该不陌生;1小时=60分钟=3600秒,当时针转动1小时,秒针跳动3600次;那在数字电路中的时钟信号也是有固定的节奏的,这种节奏的开始到结束的时间,我们通常称之为周期(T)。

69644cfa-04e7-11ee-90ce-dac502259ad0.png

在数字系统中通常关注到时钟的频率,那频率与周期的关系如下:

  • MES2KG板卡上有一个40MHz的晶振提供时钟给到PGC2KG;

6975109e-04e7-11ee-90ce-dac502259ad0.png

>>>点击查看全文

2.FPGA入门指导:键控流水灯

由 USER_BUTTON1 按键输入,切换 USER_LED1~ USER_LED8 的输出效果。

每按下一次 KEY1,LED 灯状态切换一次,总共三种 LED 模式供循环切换;

  • LED 模式一:从高位到低位的 LED 流水灯;

  • LED 模式二:隔一亮一交替点亮;

  • LED 模式三:从高位到低位暗灯流水;

698c86de-04e7-11ee-90ce-dac502259ad0.png

>>>点击查看全文

3.FPGA入门指导:I2C 读写

在 EEPROM 的 8’b0 地址写入 8bit 数据 8’b10101010,按下 KEY2 表示写,再按下
KEY4 触发写开始;按下 KEY3 表示在 8’b0 地址读,再按下 KEY4 触发读开始,将读出的数据
以二进制形式用 LED 灯显示,按下 KEY1 使 LED 恢复为全灭状态。

6996d6d4-04e7-11ee-90ce-dac502259ad0.png

>>>点击查看全文

4.FPGA入门指导:串口收发

串口通信时波特率设置为 115200bps,数据格式为 1 位起始位、8 位数据位、无校验位、1 位结束位。板子 1s 向串口助手发送一次十进制显示的“www.meyesemi.com” ,通过串口助手向板子以十六进制形式发送数字(00~FF),LED 以二进制显示亮起。

69a43fea-04e7-11ee-90ce-dac502259ad0.png

>>>点击查看全文

5.FPGA入门指导:HDMI

DMI 输入接口采用宏晶微 MS7200 HMDI 接收芯片,HDMI 输出接口采用宏晶微 MS7210 HMDI发送芯片。芯片兼容 HDMI1.4b 及以下标准视频的 3D 传输格式,最高分辨率高达 4K@30Hz,最高采样率达到 300MHz,支持 YUV 和 RGB 之间的色彩空间转换,数字接口支持 YUV 及 RGB 格式。

MS7200 和 MS7210 的 IIC 配置接口与 FPGA 的 IO 相连,通过 FPGA 的编程来对芯片进行初始化和配置操作。

MES50HP 开发板上将 MS7200 的 SA 管脚下拉到地,故 IIC 的 ID 地址为 0x56,将 MS7210 的SA 管脚上拉到电源电压,故 IIC 的 ID 地址为 0xB2(详情请查看“MES50HP 开发板硬件使用手册”)。

本小节实现:

  • MES50HP 开发板通过 HDMI 在屏幕上显示彩条;

  • MES50HP 开发板 HDMI IN 接收,通过 HDMI OUT 实现环路输出;

69b1e898-04e7-11ee-90ce-dac502259ad0.png69c9790e-04e7-11ee-90ce-dac502259ad0.png

>>>点击查看全文

6.FPGA入门指导:DDR3 读写

MES50HP 开发板集成两颗 4Gbit(512MB)DDR3 芯片,型号为 MT41K256M16。DDR3 的总线宽度共为 32bit。DDR3 SDRAM 的最高数据速率 800Mbps

本小节主要讲解生成 DDR3 IP 官方例程,实现 DDR3 的读写控制,了解其工作原理和用户接口。

下载程序,可以看到 LED1 常亮,LED2 常灭, LED3 闪烁,LED4 常亮;

69e0b024-04e7-11ee-90ce-dac502259ad0.png

>>>点击查看全文



69f5e782-04e7-11ee-90ce-dac502259ad0.png

6a0b8b82-04e7-11ee-90ce-dac502259ad0.png

声明本文由电子发烧友社区发布,转载请注明以上来源。如需社区合作及入群交流,请添加微信EEFans0806,或者发邮箱liuyong@huaqiu.com。

更多热点文章阅读

  • 基于Cortex-M3内核的32位微控制器STM32项目实战分享!

  • 基于32位RISC-V设计的互联型微控制器,沁恒微CH32V307开发样例

  • RK3568!四核64位ARMv8.2A架构,汇聚编译源码及实战样例

  • 尺寸仅有21mm*51mm,板边采用邮票孔设计,合宙 Air105 核心板开发总结

  • 嵌入式Linux开发秘籍!工程师大佬亲历分享项目样例


原文标题:采用先进成熟工艺和自主产权体系结构,紫光同创FPGA开发板入门指导

文章出处:【微信公众号:电子发烧友论坛】欢迎添加关注!文章转载请注明出处。


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉

原文标题:采用先进成熟工艺和自主产权体系结构,紫光同创FPGA开发板入门指导

文章出处:【微信号:gh_9b9470648b3c,微信公众号:电子发烧友论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    精选推荐!紫光盘古系列FPGA开发板信息汇总

    为核心,采用先进成熟工艺自主产权体系结构,满足低功耗、低成本、小尺寸的设计要求。 包括专用存储
    发表于 04-11 11:57

    紫光同创新品开发板,盘古PGX系列PGX-MINI 4K开发板,板卡集成下载器~

    迎来迅猛发展。国产FPGA由于拥有高性价比、完整自主可控知识产权产业链,越来越成为行业热门选择。 作为国产FPGA专业厂商紫光
    发表于 03-01 19:02

    紫光同创新品开发板,盘古PGX系列PGX-MINI 4K开发板,板卡集成下载器

    FPGA迎来迅猛发展。 国产FPGA由于拥有高性价比、完整自主可控知识产权产业链,越来越成为行业热门选择。 作为国产FPGA专业厂商
    发表于 03-01 15:16

    国产FPGA介绍-紫光同创

    紫光同创紫光集团旗下紫光国微的子公司,成立于2013年,有十余年可编程逻辑器件研发经历,布局覆盖高中低端FPGA产品。 早在2015年,
    发表于 01-24 10:45

    【重磅新品】盘古100K开发板紫光同创PG2L100H,Logos2系列,性能全面提升

    /Logos2/Titan2/Compa全系列,即将重磅上市! 本期推荐 :盘古100K开发板(2024新版),基于紫光同创Logos2系列PG2L100H开发平台。
    发表于 12-29 16:31

    2024新品推荐|盘古100K开发板紫光同创PG2L100H,Logos2系列,性能全面提升

    /Logos2/Titan2/Compa全系列,即将重磅上市! 本期推荐 :盘古100K开发板(2024新版),基于紫光同创Logos2系列PG2L100H开发平台。
    发表于 12-28 18:09

    2024新品推荐|盘古200K开发板紫光同创PG2L200H,Logos2系列,资源丰富,功能强大

    器件,推出100%国产化高性能盘古系列FPGA方案和开发套件,为客户提供专业且高效的FPGA产品和服务支持。 紫光同创Logos2系列
    发表于 12-28 17:05

    2024新品推荐|盘古50Pro开发板紫光同创PG2L50H,器件全面升级,功耗更低

    /Logos2/Titan2/Compa全系列,即将重磅上市! 本期推荐:盘古50Pro开发板,基于紫光同创Logos2系列PG2L50H开发平台。
    发表于 12-28 11:29

    紫光同创PGL22G开发板|盘古22K开发板,国产FPGA开发板,接口丰富,高性价比

    ,一多用,满足多方位的开发需求。 盘古22K开发板详情 盘古22K开发板(MES22GP)是基于紫光
    发表于 09-21 18:16

    紫光同创PGL22G开发板|盘古22K开发板,国产FPGA开发板

    ​ 本原创文章由深圳市小眼睛科技有限公司创作,版权归本公司所有,如需转载,需授权并注明出处 盘古22K开发板是基于紫光同创Logos系列PGL22G芯片设计的一款FPGA
    发表于 08-31 14:21

    【免费试用】紫光同创PGL50H开发平台,高性能国产FPGA,盘古50K开发板试用活动火热征集中!

    基于紫光同创Logos系列PGL50H FPGA。Logos系列采用先进成熟
    发表于 08-21 16:16

    【视频】紫光同创Logos系列PGL50H关键特性评估@盘古50K开发板#小眼睛FPGA盘古系列开发板

    【视频】紫光同创Logos系列PGL50H关键特性评估@盘古50K开发板#小眼睛FPGA盘古系列开发板
    发表于 06-12 18:07

    【视频】紫光同创盘古系列FPGA开发板@盘古2K开发板#紫光同创Compa系列评估#小眼睛FPGA开发板

    #紫光同创盘古系列FPGA开发板@盘古2K开发板 基于紫光
    发表于 06-12 17:58

    【视频】盘古Logos系列PGL22G关键特性评估@盘古22K开发板#紫光同创FPGA开发板

    【视频】盘古Logos系列PGL22G关键特性评估@盘古22K开发板#紫光同创FPGA开发板#
    发表于 06-12 17:38

    #开箱视频#盘古50K开发板开箱教程#紫光同创盘古系列FPGA开发板@小眼睛FPGA盘古系列开发板~

    #开箱视频#盘古50K开发板开箱教程#紫光同创盘古系列FPGA开发板@小眼睛FPGA盘古系列
    发表于 06-09 16:50