0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

层次化的UVM TLM连接

芯片验证工程师 来源:芯片验证工程师 2023-05-29 14:51 次阅读

封装和层次结构

TLM接口的使用将验证环境中的每个组件与其他组件隔离。验证环境实例化一个组件,并完成其ports/exports的连接,不需要进一步了解验证组件具体的实现。

较小的验证组件可以组合成较大的验证组件,此时上一级组件就是一个简单的引出包含多个子组件的容器。

层次化连接

建立跨层次连接涉及到一些额外的问题,考虑下图所示的分层设计。

cc3662fc-fc66-11ed-90ce-dac502259ad0.png

这个设计的层次包含两个组件,producerconsumer。producer包含三个组件,stim、fifo和conv。consumer包含两个组件,fifo和drv。这两个fifo都是uvm_tlm_fifo 组件的例化。

从顶层角度看,producer的put_port与consumer的put_export相连。在上图中,A、B、D和F是标准的 peer-to-peer连接。连接A的代码为:

stim.put_port.connect(fifo.put_export);

连接C和E有所不同,C是port-to-port的连接,E是export-to-export的连接。这两种连接对于完成层次化连接是必要的。

连接E的代码为:

cc429cfc-fc66-11ed-90ce-dac502259ad0.png

连接C代码为

cc62d1c0-fc66-11ed-90ce-dac502259ad0.png

连接类型

cc79f530-fc66-11ed-90ce-dac502259ad0.png

port.connect()方法的参数可以是一个export和port,这取决于连接的性质(即peer-to-peer或者hierarchical)。

export.connect()的参数总是一个子组件的export。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 接口
    +关注

    关注

    33

    文章

    7641

    浏览量

    148520
  • 封装
    +关注

    关注

    124

    文章

    7281

    浏览量

    141104
  • UVM
    UVM
    +关注

    关注

    0

    文章

    181

    浏览量

    18965
  • 代码
    +关注

    关注

    30

    文章

    4556

    浏览量

    66805
  • TLM
    TLM
    +关注

    关注

    1

    文章

    32

    浏览量

    24677

原文标题:层次化的UVM TLM连接

文章出处:【微信号:芯片验证工程师,微信公众号:芯片验证工程师】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    关于层次符号的管脚的一个疑问

    用PADS Logic画原理图的时候,创建了一个MCU模块的层次符号,如下图。我想把P46连接到键盘模块的PS2_DAT管脚上,于是把与P46连接的网络改成PS2_DAT,结果奇怪的
    发表于 03-08 12:22

    数字IC验证之“什么是UVM”“UVM的特点”“UVM提供哪些资源”(2)连载中...

    uvm的事物级建模方便了工程师对事物进行建模,uvmtlm通信机制方便实现各个组件之间的数据通信,使用uvm提供的宏可以方便的进行各种操作,比如实现factory机制,file的o
    发表于 01-21 16:00

    什么是uvmuvm的特点有哪些呢

    大家好,我是一哥,上章内容我们介绍什么是uvmuvm的特点以及uvm为用户提供了哪些资源?本章内容我们来看一看一个典型的uvm验证平台应该是什么样子的,来看一个典型的
    发表于 02-14 06:46

    请问一下在UVM中的UVMsequences是什么意思啊

    uvm_object基类扩展得到。UVM sequences不是在仿真的开始,而是在仿真的过程中生成并分配内存的,也没有类似uvm_component的层次结构。随着仿真的进行,将创建
    发表于 04-11 16:43

    谈谈UVM中的uvm_info打印

      uvm_info宏的定义如下:  `define uvm_info(ID,MSG,VERBOSITY) \  begin \  if (uvm_report_enabled(VERBOSITY
    发表于 03-17 16:41

    海信TLM3229G、TLM3729G彩电电路图

    海信TLM3229G彩电电路图海信TLM3229G彩色电视机电路图,海信TLM3229G彩电图纸,海信TLM3229G原理图
    发表于 05-08 17:31 89次下载
    海信<b class='flag-5'>TLM</b>3229G、<b class='flag-5'>TLM</b>3729G彩电电路图

    ASIC芯片设计之UVM验证

    百度百科对UVM的释义如下:通用验证方法学(Universal Verification Methodology, UVM)是一个以SystemVerilog类库为主体的验证平台开发框架,验证工程师可以利用其可重用组件构建具有标准化层次
    发表于 11-30 12:47 1115次阅读

    典型的UVM Testbench架构

    UVM类库提供了通用的代码功能,如component hierarchy、transaction level model(TLM),configuration database等等,使用户能够创建任何类型的Testbench架构。
    的头像 发表于 05-22 10:14 1273次阅读
    典型的<b class='flag-5'>UVM</b> Testbench架构

    UVM TLM的基本概念介绍

    UVM中,transaction 是一个类对象,它包含了建模两个验证组件之间的通信所需的任何信息。
    的头像 发表于 05-24 09:17 1214次阅读
    <b class='flag-5'>UVM</b> <b class='flag-5'>TLM</b>的基本概念介绍

    UVM Transaction-Level验证组件

    如下图所示,UVM中的TLM接口为组件之间Transaction的发送和接收提供了一套统一的通信方法。
    的头像 发表于 05-29 09:31 390次阅读
    <b class='flag-5'>UVM</b> Transaction-Level验证组件

    创建UVM Driver

    Driver的作用是从sequencer中获得数据项,按照接口协议将数据项驱动到总线上。UVM类库提供了uvm_driver基类,所有的Driver类都应该直接或间接地从该类中扩展出来。Driver有一个TLM port,通过它
    的头像 发表于 05-30 16:17 528次阅读
    创建<b class='flag-5'>UVM</b> Driver

    Easier UVM Code Generator Part 4:生成层次化的验证环境

    本文使用Easier UVM Code Generator生成包含多个agent和interface的uvm验证环境。
    的头像 发表于 06-06 09:13 658次阅读

    UVM中基于SOCKET通信的TLM2.0介绍

    TLM2.0在2009年成为OSCI标准,主要用于构造总线系统的SystemC模型。
    的头像 发表于 06-25 09:58 1359次阅读
    <b class='flag-5'>UVM</b>中基于SOCKET通信的<b class='flag-5'>TLM</b>2.0介绍

    数字IC验证之基本的TLM通信

    提高验证生产力的关键之一就是在合适的**抽象层次**思考问题和完成验证工作,为此UVM提供了 **事务级别(transaction level)** 的通信接口 **(Transaction-Level Modeling,TLM
    发表于 06-25 11:42 321次阅读
    数字IC验证之基本的<b class='flag-5'>TLM</b>通信

    一文详解UVM设计模式

    本篇是对UVM设计模式 ( 二 ) 参数化类、静态变量/方法/类、单例模式、UVM_ROOT、工厂模式、UVM_FACTORY[1]中单例模式的补充,分析静态类的使用,UVM中资源池的
    的头像 发表于 08-06 10:38 903次阅读
    一文详解<b class='flag-5'>UVM</b>设计模式