0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

UVM Transaction-Level Modeling (TLM)介绍

jf_78858299 来源:芯片验证工程师 作者:验证哥布林 2023-05-22 16:19 次阅读

验证生产力的关键之一是 在一个合适的抽象级别上考虑验证问题 。也就是说,在验证DUT时应该创建一个支持适当抽象级别的验证环境。虽然DUT实际接口都是信号级的,但有必要在transaction level管理绝大多数的验证任务,如激励生成、功能比对和覆盖率收集等。

UVM提供了一组transaction level通信接口,你可以使用它们来连接transaction level的组件。TLM接口的使用将每个验证组件与验证环境中其他组件隔离开。 TLM+factory机制通过支持组件替换来促进重用 ,因为替换前和替换后的验证组件具有相同的接口。

TLM还允许UVM验证环境与验证开发的transaction-level model进行组合(而不是DUT本身)。

例如可以用验证开发的model1( functional model )去验证model2( cycle-accurate model ),也可以同时使用model1+model2验证DUT。model1和model2分别是在不同阶段以不同精细度对RTL的建模。在前期可以通过验证环境+model1+model2,保证验证环境的稳定,等到RTL ready后使用model1和model2验证RTL,快速实现验证收敛。当然,这其中同样存在着 transaction-level和pin-level转换的事情要去做。

组件之间定义清晰的TLM接口语义也为实现mixed-language验证环境提供了支持。此外,可以理解任何带有TLM接口的验证组件( verification components )都可以非常方便地集成到已有的验证环境。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • TLM
    TLM
    +关注

    关注

    1

    文章

    32

    浏览量

    24677
  • 验证
    +关注

    关注

    0

    文章

    57

    浏览量

    15079
  • DUT
    DUT
    +关注

    关注

    0

    文章

    182

    浏览量

    12002
收藏 人收藏

    评论

    相关推荐

    数字IC验证之“什么是UVM”“UVM的特点”“UVM提供哪些资源”(2)连载中...

    原文链接:https://zhuanlan.zhihu.com/p/345775995大家好,我是一哥,上章内容主要讲述两个内容,芯片验证以及验证计划。那本章我们主要讲述的内容有介绍什么是uvm
    发表于 01-21 16:00

    数字IC验证之“搭建一个可以运行的uvm测试平台”(5)连载中...

      大家好,我是一哥,上章介绍了构成uvm测试平台的主要组件?最后,我们将一个典型的uvm平台进行最简化,从本章我们开始搭建一个可以运行的uvm测试平台。  本节课的重要内容是事物级建
    发表于 01-26 10:05

    什么是uvmuvm的特点有哪些呢

    大家好,我是一哥,上章内容我们介绍什么是uvmuvm的特点以及uvm为用户提供了哪些资源?本章内容我们来看一看一个典型的uvm验证平台应该
    发表于 02-14 06:46

    谈谈UVM中的uvm_info打印

      // UVM_NONE - Report is always printed. Verbosity level setting can not disable it.  // UVM_LOW - Report
    发表于 03-17 16:41

    Modeling and Implementation of

    opened exciting new design flow possibilities.  System level support for bittrue modeling enables a designer to use a single envi
    发表于 07-01 18:21 6次下载

    Modeling and Implementation of

    have opened exciting new design flow possibilities.  System level support for bittrue modeling enables a designer to use a
    发表于 07-09 13:49 10次下载

    TLM通信中常用的术语

    TLMtransaction Level Modeling(事务级建模)的缩写。简单来说,一个transaction就是把具有某一特定功能
    的头像 发表于 09-19 10:24 3195次阅读

    为什么不是uvm_transaction构建UVM事务呢?

    UVM 中的事务是一个具有信号属性(例如地址和数据)以及错误、延迟等额外信息的类。总之,这个所谓事务封装了所有和DUT激励项相关的信息.
    的头像 发表于 03-08 13:41 398次阅读

    UVM Transaction-Level Modeling (TLM)概述

    验证生产力的关键之一是在一个合适的抽象级别上考虑验证问题。也就是说,在验证DUT时应该创建一个支持适当抽象级别的验证环境。
    的头像 发表于 05-22 09:58 425次阅读

    典型的UVM Testbench架构

    UVM类库提供了通用的代码功能,如component hierarchy、transaction level model(TLM),configuration database等等,使
    的头像 发表于 05-22 10:14 1283次阅读
    典型的<b class='flag-5'>UVM</b> Testbench架构

    UVM TLM的基本概念介绍

    UVM中,transaction 是一个类对象,它包含了建模两个验证组件之间的通信所需的任何信息。
    的头像 发表于 05-24 09:17 1221次阅读
    <b class='flag-5'>UVM</b> <b class='flag-5'>TLM</b>的基本概念<b class='flag-5'>介绍</b>

    UVM Transaction-Level验证组件

    如下图所示,UVM中的TLM接口为组件之间Transaction的发送和接收提供了一套统一的通信方法。
    的头像 发表于 05-29 09:31 394次阅读
    <b class='flag-5'>UVM</b> <b class='flag-5'>Transaction-Level</b>验证组件

    UVM中的uvm_do宏简析

    uvm_do宏及其变体提供了创建、随机化和发送transaction items或者sequence的方法。
    的头像 发表于 06-09 09:36 2959次阅读
    <b class='flag-5'>UVM</b>中的<b class='flag-5'>uvm</b>_do宏简析

    UVM中基于SOCKET通信的TLM2.0介绍

    TLM2.0在2009年成为OSCI标准,主要用于构造总线系统的SystemC模型。
    的头像 发表于 06-25 09:58 1394次阅读
    <b class='flag-5'>UVM</b>中基于SOCKET通信的<b class='flag-5'>TLM</b>2.0<b class='flag-5'>介绍</b>

    数字IC验证之基本的TLM通信

    提高验证生产力的关键之一就是在合适的**抽象层次**思考问题和完成验证工作,为此UVM提供了 **事务级别(transaction level)** 的通信接口 **(Transaction-
    发表于 06-25 11:42 328次阅读
    数字IC验证之基本的<b class='flag-5'>TLM</b>通信