0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

IC设计全流程和要用到的EDA工具介绍

jf_GctfwYN7 来源:IC修真院 2023-05-12 14:10 次阅读

设计一款芯片,明确需求(功能和性能)之后,先由架构工程师设计架构,得出芯片设计方案,前端设计工程师形成RTL代码,验证工程师进行代码验证,再通过后端设计工程师和版图工程师生成物理版图。

设计环节到此为止,后面则是制造和封测环节。

设计环节的各个工作岗位,都需要使用到EDA工具。这也就要求IC设计端的工程师们对EDA工具的使用了如指掌。

设计中使用的EDA工具如下:

1、架构的设计与验证

按照要求,对整体的设计划分模块。

架构模型的仿真可以使用Synopsys公司的CoCentric软件,它是基于System C的仿真工具。

2、HDL设计输入

设计输入方法有:HDL语言(Verilog或VHDL)输入、电路图输入、状态转移图输入。

使用的工具有:Active-HDL,而RTL分析检查工具有Synopsys的LEDA。

3、前仿真工具(功能仿真)

初步验证设计是否满足规格要求。

使用的工具有:Synopsys的VCS,Mentor的ModelSimCadence的Verilog-XL,Cadence的NC-Verilog。

4、逻辑综合

将HDL语言转换成门级网表Netlist。综合需要设定约束条件,就是你希望综合出来的电路在面积,时序等目标参数上达到的标准;逻辑综合需要指定基于的库,使用不同的综合库,在时序和面积上会有差异。逻辑综合之前的仿真为前仿真,之后的仿真为后仿真。

使用的工具有:Synopsys的Design Compiler,Cadence的 PKS,Synplicity的Synplify等。

5、静态时序分析工具(STA)

在时序上,检查电路的建立时间(Setuptime)和保持时间(Hold time)是否有违例(Violation)。

使用的工具有:Synopsys的Prime Time。

6、形式验证工具

在功能上,对综合后的网表进行验证。常用的就是等价性检查(Equivalence Check)方法,以功能验证后的HDL设计为参考,对比综合后的网表功能,他们是否在功能上存在等价性。这样做是为了保证在逻辑综合过程中没有改变原先HDL描述的电路功能。

使用的工具有:Synopsys的Formality

而后端相应的流程如下:

1、数据准备

对于 CDN 的 Silicon Ensemble而言,后端设计所需的数据主要有是Foundry厂提供的标准单元、宏单元和I/O Pad的库文件,它包括物理库、时序库及网表库,分别以.lef、.tlf和.v的形式给出。前端的芯片设计经过综合后生成的门级网表,具有时序约束和时钟定义的脚本文件和由此产生的约束文件以及定义电源Pad的DEF(Design Exchange Format)文件。(对synopsys 的Astro 而言,经过综合后生成的门级网表,时序约束文件 SDC 是一样的,Pad的定义文件–tdf,.tf 文件 --technology file,Foundry厂提供的标准单元、宏单元和I/O Pad的库文件 就与FRAM, CELL view, LM view形式给出(Milkway 参考库 and DB, LIB file)

2、布局规划

主要是标准单元、I/O Pad和宏单元的布局。I/OPad预先给出了位置,而宏单元则根据时序要求进行摆放,标准单元则是给出了一定的区域由工具自动摆放。布局规划后,芯片的大小,Core的面积,Row的形式、电源及地线的Ring和Strip都确定下来了。如果必要在自动放置标准单元和宏单元之后,你可以先做一次PNA(power network analysis)–IR drop and EM 。

3、Placement -自动放置标准单元

布局规划后,宏单元、I/O Pad的位置和放置标准单元的区域都已确定,这些信息SE(Silicon Ensemble)会通过DEF文件传递给PC(Physical Compiler),PC根据由综合给出的.DB文件获得网表和时序约束信息进行自动放置标准单元,同时进行时序检查和单元放置优化。如果你用的是PC +Astro,那你可用write_milkway,read_milkway 传递数据。

4、时钟树生成(CTS Clock tree synthesis)

芯片中的时钟网络要驱动电路中所有的时序单元,所以时钟源端门单元带载很多,其负载延时很大并且不平衡,需要插入缓冲器减小负载和平衡延时。时钟网络及其上的缓冲器构成了时钟树。一般要反复几次才可以做出一个比较理想的时钟树—Clock skew。

5、STA 静态时序分析和后仿真

时钟树插入后,每个单元的位置都确定下来了,工具可以提出Global Route形式的连线寄生参数,此时对延时参数的提取就比较准确了。

SE把.V和.SDF文件传递给PrimeTime做静态时序分析。确认没有时序违规后,将这来两个文件传递给前端人员做后仿真。

对Astro 而言,在detail routing 之后,用starRC XT 参数提取,生成的SPEF文件传递给PrimeTime做静态时序分析,那将会更准确。

6、ECO(Engineering Change Order)

针对静态时序分析和后仿真中出现的问题,对电路和单元布局进行小范围的改动。

7、Filler的插入(padfliier,cell filler)

Filler指的是标准单元库和I/O Pad库中定义的与逻辑无关的填充物,用来填充标准单元和标准单元之间,I/O Pad和I/O Pad之间的间隙,它主要是把扩散层连接起来,满足DRC规则和设计需要。

8、布线(Routing)

布线是指在满足工艺规则和布线层数限制、线宽、线间距限制和各线网可靠绝缘的电性能约束的条件下,根据电路的连接关系将各单元和I/O Pad用互连线连接起来,这些是在时序驱动(Timing driven )的条件下进行的,保证关键时序路径上的连线长度能够最小。

9、Dummy Metal的增加

Foundry厂都有对金属密度的规定,使其金属密度不要低于一定的值,以防在芯片制造过程中的刻蚀阶段对连线的金属层过度刻蚀从而降低电路的性能。加入Dummy Metal是为了增加金属的密度。

10、DRC和LVS

DRC是对芯片版图中的各层物理图形进行设计规则检查(spacing ,width),它也包括天线效应的检查,以确保芯片正常流片。LVS主要是将版图和电路网表进行比较,来保证流片出来的版图电路和实际需要的电路一致。

DRC和LVS的检查–EDA工具Synopsy hercules/ mentor calibre/ CDN Dracula进行的。Astro also include LVS/DRC check commands。

11、Tape out

在所有检查和验证都正确无误的情况下把最后的版图GDSⅡ文件传递给Foundry厂进行掩膜制造,也就是送去流片了。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 电源
    +关注

    关注

    182

    文章

    16560

    浏览量

    244803
  • IC设计
    +关注

    关注

    37

    文章

    1264

    浏览量

    102966
  • eda
    eda
    +关注

    关注

    71

    文章

    2541

    浏览量

    170895
  • HDL
    HDL
    +关注

    关注

    8

    文章

    324

    浏览量

    47107
  • RTL
    RTL
    +关注

    关注

    1

    文章

    377

    浏览量

    59077

原文标题:芯片科普 | IC设计全流程&要用到的EDA工具

文章出处:【微信号:IC修真院,微信公众号:IC修真院】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    模拟IC升级需要什么样的EDA工具支持?

    IC设计离不开EDA工具的支持,模拟设计也不例外。在9月20日举行的2019年中国模拟半导体大会上,Cadence中国区技术支持总监栾志雨带来了主题为《中国模拟IC升级更需要借力
    的头像 发表于 09-25 12:10 6900次阅读

    EDA流程的重要意义,以及国内EDA流程进展

    的方式。如果一款工具能够覆盖特定芯片在上述流程中的设计任务,那么我们就将其称之为全流程EDA工具,或者是全
    的头像 发表于 12-14 00:08 1515次阅读

    IC设计流程

    GDSⅡ的设计流程: 这个可以理解成全定制的设计流程,一般用于设计模拟电路和数模混合电路。 整个流程如下(左侧为流程,右侧为用到的相应
    发表于 01-11 13:49

    转:最新EDA工具及相关厂商介绍(数字设计)

    本帖最后由 eehome 于 2013-1-5 09:47 编辑   前记:在很多电子网站上看到很多介绍IC或者FPGA设计工具系统的资料,但是感觉都不是很综合。所以这里尝试做一个2012
    发表于 12-28 17:00

    EDA设计流程及其工具

    EDA设计流程及其工具.ppt
    发表于 01-21 13:07

    IC设计流程介绍

    Schematic到GDSⅡ的设计流程: 这个可以理解成全定制的设计流程,一般用于设计模拟电路和数模混合电路。整个流程如下(左侧为流程,右侧为用到
    发表于 08-16 09:14

    IC设计:Synopsys2018 版本 EDA 工具免费分享

    0185. 进入系统后就可以正常的使用 Synopsys2018 版的工具了;6. 希望你可以把这个分享给想学数字 IC 的同学;关于《Synopsys2018EDA 工具》就
    发表于 11-30 18:56

    IC设计中Accellera先进库格式语言与EDA工具的结合

    IC设计中Accellera先进库格式语言与EDA工具的结合应用 先进库格式(ALF)是一种提供了库元件、技术规则和互连模型的建模语言,不同抽象等级的ALF模型能被EDA同时用于
    发表于 12-26 14:43 608次阅读
    <b class='flag-5'>IC</b>设计中Accellera先进库格式语言与<b class='flag-5'>EDA</b><b class='flag-5'>工具</b>的结合

    EDA设计流程及其工具

    EDA设计流程及其工具
    发表于 12-11 23:38 0次下载

    全定制和半定制简易IC设计流程介绍

    ,右侧为用到的相应EDA工具): 一个完整的半定制设计流程应该是:RTL代码输入、功能仿真、逻辑综合、形式验证、时序/功耗/噪声分析,布局布线(物理综合)、版图验证。 至于你说的FPG
    发表于 10-20 11:38 25次下载
    全定制和半定制简易<b class='flag-5'>IC</b>设计<b class='flag-5'>流程</b><b class='flag-5'>介绍</b>

    IC设计前后端流程EDA工具介绍

    本文首先介绍ic设计的方法,其次介绍IC设计前段设计的主要流程工具,最后
    发表于 04-19 18:04 1.2w次阅读

    数字IC设计流程

    做的工作 二. 每个流程涉及到的EDA工具介绍设计流程之前,我们先来看看数字芯片内部的架构。 如下图所示,一个芯片是包含很多模块的,有C
    的头像 发表于 12-09 10:12 6514次阅读
    数字<b class='flag-5'>IC</b>设计<b class='flag-5'>流程</b>

    EDA工具的发展特征

    设计全流程EDA工具解决方案的企业,集中了全球超77%的EDA工具市场。此外,Ansys凭借热分析、压电分析等优势点
    发表于 06-12 10:32 3880次阅读
    <b class='flag-5'>EDA</b><b class='flag-5'>工具</b>的发展特征

    ic设计是芯片设计吗 数字ic设计流程工具介绍

    IC设计中,设计师使用电路设计工具(如EDA软件)来设计和模拟各种电路,例如逻辑电路、模拟电路、数字信号处理电路等。然后,根据设计电路的规格要求,进行布局设计和布线,确定各个电路元件的位置和连线方式。最后,进行物理设计,考虑电
    发表于 08-30 17:07 2080次阅读

    变频器维修需要用到工具都有哪些

    变频器维修需要用到工具都有哪些
    的头像 发表于 10-20 08:42 1565次阅读