0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

X态如何通过RTL级和门级仿真模型中的逻辑进行传播呢?

sanyue7758 来源:ICer消食片 2023-04-20 09:12 次阅读

Verilog中,IC设计工程师使用RTL构造和描述硬件行为。但是RTL代码中的一些语义,并不能够准确地为硬件行为建模。Verilog中定义了4种不同的逻辑值:1、0、X和Z,1和0是真实存在的逻辑电平,Z表示高阻态,X表示未知态。

X态的存在使得仿真结果要么太过于乐观,要么太过于悲观。因此X态如何通过RTL级和门级仿真模型中的逻辑进行传播的,是需要特别关注的。为此,还产生了两种观念,X-optimism和X-pessimism。前者将X值转换为0或1,后者将X值一直传播出去。

X态产生的原因主要分为以下几种情况:

四值变量、寄存器和锁存器未初始化

模块输入端口未连接

总线争用

超出范围的位选择和数组索引

setup/holdtiming violation

testbench中注入X态

VCS对于RTL仿真提供了支持X-Propagation的选项,因为RTL仿真偏向于乐观,导致一些X态传播的bug,无法在正常的RTL仿真阶段发现。但是在Gate-level仿真时会暴露出来,因为Gate-level仿真也更接近实际硬件行为。

我们知道越早的发现bug,所消耗的成本也越低的,故VCS的X-Propagation功能可以更接近Gate-level的X态传播行为,是一种在后仿之前debug的低成本仿真策略。

通常使用带xprop的仿真选项为:

vcs -xprop[=tmerge|xmerge|xprop_config_file]

[-xprop=flowctrl]

[-xprop=nestLimit=]

other_vcs_options

这里就不深入介绍各个选项了,而是介绍三种merge mode:

vmerge mode: 就是Verilog协议规定的X态处理行为;
tmerge mode: 更接近Gate-level仿真,也就是实际硬件行为;
xmerge mode: 相比tmerge mode,对于X态的处理更悲观;

下面举几个实例来看看这三种mode的具体区别

if语句

always @*
if(s)
  r=a;
else
  r=b;
600d79ce-df08-11ed-bfe3-dac502259ad0.png

在vmerge模式下,使用标准的HDL模拟语义。当控制信号s未知时,输出信号r总是被赋给else语句的值。此时r的值与信号b相同。

在tmerge模式下,当控制信号s未知时,如果输入信号a和b 同,则r的值与a(或b)相同。如果a和b不相同,则r的值为X。

在xmerge模式下,当控制信号s未知时,输出信号r的值始终为X。

case语句

case (s)
1'b0:r=a;
1'b1:r=b;
endcase

6019954c-df08-11ed-bfe3-dac502259ad0.png

在vmerge模式中,使用标准的HDL模拟语义。当控制信号s未知时,输出信号r的值与执行case语句之前保持一致。

在tmerge模式中,当控制信号s未知时,如果a和b相同,r的合并和最终值与a和b 相同。如果a和b不相同,r的合并和最终值为X。

在xmerge模式下,当控制信号s未知时,输出信号r的值始终为X。

边沿敏感表达式

在标准的 Verilog 中,对于时钟信号中以下值的变化会触发上升沿转换:

0->1
0->X
0->Z
X->1
Z->1

如果X被认为是0或1值,那么在 0 -> X 转换中,X可能表示0值,这表示没有转换。X可以表示1值,此时表示上升沿。Xprop仿真考虑了这两种行为并合并了结果。

以下为一个低有效复位的D触发器示例:

60272e82-df08-11ed-bfe3-dac502259ad0.png

在三种模式中,如果时钟信号clk从0变成1,即一个上升沿触发,D触发器的输出信号q被赋值为输入信号d。

对于其余四种时钟信号的变化,vmerge模式中,输出信号q被赋值为输入信号d;xmerge模式中,输出信号q被赋值为X;tmerge模式中,q端的结果是当前拍d端和上一拍q端值得merge的结果,如果两者不同,则当前拍锁存X。

latch

always@(*)
if(g)
  q <= d;

603115f0-df08-11ed-bfe3-dac502259ad0.png

在vmerge模式下,当控制信号g未知时,输出信号q的值不变。

在tmerge模式下,当控制信号g未知时,分配给q的合并值取决于q和d的值。

在xmerge模式下,当控制信号g未知时,输出信号q的值始终为X。

603958fa-df08-11ed-bfe3-dac502259ad0.png

当xprop仿真过程中出现X态报错时,需要看波形以具体分析是寄存器没有初始化值,存在X态导致的,还是代码逻辑中存在问题导致的。






审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IC设计
    +关注

    关注

    37

    文章

    1264

    浏览量

    102963
  • Verilog
    +关注

    关注

    28

    文章

    1327

    浏览量

    109312
  • RTL
    RTL
    +关注

    关注

    1

    文章

    377

    浏览量

    59076
  • VCS
    VCS
    +关注

    关注

    0

    文章

    78

    浏览量

    9497

原文标题:什么是X态传播?

文章出处:【微信号:处芯积律,微信公众号:处芯积律】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    什么是三和OC

    和OC一、OC实际使用,有时需要两个或两个以上与非门的输出端连接在同一条导线上,将这些与非门上的数据(状态)用同一条导线输送出去
    发表于 05-26 13:01

    RTL代码和仿真代码的区别

    RTL代码和仿真代码的区别,哪些verilog语句是可综合的??哪些不能??
    发表于 07-21 13:08

    pspice 逻辑怎么样修改模型参数?

    请问大家,pspice的逻辑模型,比如说与门7408,该怎样修改其参数?我想修改7408的延迟时间,输出电平等等,怎么弄?关于右键点击然后edit pspice model的方法,我试过,只是出来
    发表于 06-24 10:09

    RTL仿真与门仿真

    调用了modelsim进行仿真,发现有毛刺,后来才知道有RTL仿真,运行后波形很好。想问下如
    发表于 08-08 22:57

    RTL的功能仿真结果和系统建模仿真的结果比较

    我是做数字滤波器的,别人从系统进行建模、仿真来完成设计,我从RTL开始对其设计进行 验证。
    发表于 12-08 14:46

    关于RTL仿真仿真求助~

    `刚刚本科毕业,假期导师要求做一个设计。实验室没有做过FPGA的学长只好问网上的各位了。写好的FPGA代码进行RTL仿真波形是符合要求的,如下图。但是做
    发表于 08-06 12:12

    【转】TTL逻辑与普通逻辑有什么区别

    够大;从确保足够的驱动电流考虑应当足够小.2、线与逻辑,即两个输出端(包括两个以上)直接互连就可以实现“AND”的逻辑功能.在总线传输等实际应用需要多个 的输出端并联连接使用,而
    发表于 08-23 21:39

    调用ALTERA的FFT IP核,功能仿真与门仿真结果相差很远,求大神解答?

    信息,是因为时序的问题吗,按理说IP核经过时序验证,结果差异不可能这么大的啊,求大神解答。这个是RTL仿真这个是仿真小白真心求解
    发表于 08-28 20:43

    高阻与三的电路原理分析

    处于断开状态)。三是一种扩展逻辑功能的输出,也是一种控制开关。主要是用于总线的连接,因为总线只允许同时只有一个使用者。通常在数据总线上接有多个器件,每个器件
    发表于 01-08 11:03

    FPGA的逻辑仿真以及逻辑综合的一些原则

    使用预编译库在进行功能仿真和后仿真时都需要某些模块的行为仿真模型
    发表于 05-15 07:00

    今天为什么仍必须进行仿真(GLS)精选资料分享

    今天为什么仍必须进行仿真(GLS)使用仿真
    发表于 07-26 07:28

    DAN JOYCE的仅通过仿真发现的错误类型有哪些

    为什么仍必须进行仿真(GLS)?DAN JOYCE的仅通过
    发表于 09-22 07:21

    关于modelsim后仿真锁存器出现不定的问题

    未在延时链上传递时,锁存结果是确定的。但是信号在演示链上传播时,锁存结果却出现了不定。有的时候写其他的程序时,用D触发器锁存组合逻辑的结果,在时序仿真中就会有不定
    发表于 09-26 20:41

    电路功耗优化的相关资料分享

    (1)电路的功耗优化综述  电路的功耗优化(Gate Level Power Optimization,简称GLPO)是从已经映射的
    发表于 11-12 06:14

    如何理解Xcelium的多核仿真

    (如UVM),low power(低功耗),mixed signal(模拟数字混合信号),VHDL;  多核的范围包括:gate-level(),RTLX-prop(
    发表于 03-28 11:18