0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

反相器的verilog设计和仿真

CHANBAEK 来源:志芯 作者:Jack Xu 2023-01-17 16:47 次阅读

验证和testbench

Design Verication(DV)是任何产品开发中必不可少的步骤。 作为质量测试的一部分,DV确保设计的产品是与预期的产品spec相同。 不幸的是,许多设计项目没有完成彻底的DV导致产品不符合客户要求期望并需要昂贵车成本去修改设计。

pYYBAGPGX9OAKv9nAABlq_QBKVc151.png

可以和DV结合使用的质量测试手段:Requirements Management、Configuration Management、FMEA(Function Safety)、Formal(形式验证)、DFT。

testbench是一种验证的手段。 首先,任何设计都是会有输入输出的。 但是在软环境中没有激励输入,也不会对你设计的输出正确性进行评估。 那么此时便有一种,模拟实际环境的输入激励和输出校验的一种“虚拟平台”的产生。 在这个平台上你可以对你的设计从软件层面上进行分析和校验,这个就是testbench的含义。

poYBAGPGX-KAPW3iAAERS7blRjI694.png

testbench里面包含了两个东西。 1激励生成:这个只用来生成输出,他自己没有输入,只是按照一定的规律去给你的设计激励,激励通过设计的输入端口送到你的设计中。 其余的事情不管。 这里的激励,都是预先设想好的,比如根据某个协议,或者某种通信方式传递。 2输出校验:校验你的输出。 他所管的事情就是,接收你设计的输入,然后通过校验输出,找出对应的问题。

反相器的verilog设计和仿真

如大家所知,verilog是模块化设计,设计的时候我们首先需要考虑:模块名、模块的功能、端口数量和端口的input/output/inout属性。 然后需要思考:用什么语句和命令实现该模块。

poYBAGPGX-2Ad65cAACdYXNgzAc834.png

对于反相器,一共只有两个端口,分别是输入和输出,输入和输出之间就是一个单纯的取反关系,可以用数据流描述语句assign对其进行描述,用取反运算符“~”对其进行数据运算。

pYYBAGPGYACADRXbAAEBpBivTBI793.png

使用在线综合器对其进行综合

poYBAGPGYAuAC3rgAAKG1FP3gf0939.png

poYBAGPGYBeAJ26rAAEqtHTg8o8726.png

使用在线仿真器对其进行仿真

一种反相器testbench示例:

pYYBAGPGYCWAFOZHAACDwKgB0Lk494.png

poYBAGPGYDGADqf_AAC--9mlMK0345.png

pYYBAGPGYDyAe4TgAABfwLyPXb0560.png

在线仿真器使用的是其内置的testbench进行仿真:

pYYBAGPGYEeARf8XAAFGV_Q_Tnw223.png

pYYBAGPGYFSAcQ0AAACYJNJg_AU766.png

仿真波形

poYBAGPGYGCAfnwYAADPHF2e2UY960.png

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 反相器
    +关注

    关注

    6

    文章

    240

    浏览量

    42707
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132161
  • DV
    DV
    +关注

    关注

    0

    文章

    25

    浏览量

    33785
  • Verilog
    +关注

    关注

    28

    文章

    1326

    浏览量

    109302
  • design
    +关注

    关注

    0

    文章

    152

    浏览量

    45531
收藏 人收藏

    评论

    相关推荐

    CMOS模拟集成电路之反相器链电路的建立和前仿真

    今天学习的是反相器链电路的建立和前仿真,说的简单点就是反相器的原理图仿真,和用AD画原理图一样,开始是设计库,后面是根据库中的器件画原理图
    的头像 发表于 09-13 11:08 1616次阅读
    CMOS模拟集成电路之<b class='flag-5'>反相器</b>链电路的建立和前<b class='flag-5'>仿真</b>

    从零开始反相器verilog设计

    反相器,是数字集成电路最基本的电路之一。由一个pmos和一个nmos组成,输入信号IN接到pmos和nmos的栅极,输出信号OUT由漏极引出
    的头像 发表于 10-09 15:33 927次阅读
    从零开始<b class='flag-5'>反相器</b>的<b class='flag-5'>verilog</b>设计

    反相器

    三极管怎么当反相器使 啊?求求各位大神给个电路图
    发表于 11-03 15:37

    反相器选型

    我想请教下反相器需要关注什么参数?
    发表于 04-28 17:52

    窗口电压比较反相器连接问题

    前几天做实验,其中有一个模块是窗口电压比较,设计是输出与反相器连接,实现”双限“,仿真时没什么问题,焊接后发现当黑色箭头处输出0的时候,红色箭头输出1.1伏左右,但与反相器断开后则为
    发表于 03-26 16:52

    设计反相器如何解决PEX的问题?

    一个反相器的设计,LVS通过了,但是PEX的时候又碰到了问题怎么解决?
    发表于 06-24 06:47

    请问CML转CMOS时钟接在反相器输出端首尾相接的反相器作用是什么?

    如图红圈部分,这样的结构见到过很多次了。    直观地看是利用正反馈加快另一支路时钟的翻转速度。但就初步的仿真结果来看效果并不好。可能是我选取的尺寸不对,也有可能并不是这个作用。  有使用过这种结构的前辈吗?能否请教一下这些首尾相接反相器的真正作用,以及尺寸应该凭借什么选
    发表于 06-24 07:55

    virtuoso中进行CMOS反相器和静态寄存的电路设计

    这篇博客记录一下virtuoso中进行CMOS反相器和静态寄存的电路设计以及功能仿真,适合入门。还做了版图设计,但是自己对原理不是不清楚,在此就不记录了。virtuoso电路设计环境基本教学一
    发表于 11-12 06:28

    TTL反相器的基本电路有哪几种呢

    怎样去改善带电阻负载的BJT反相器的动态性能呢?TTL反相器的工作原理是什么?TTL反相器的基本电路是由哪些部分组成的?
    发表于 02-22 08:06

    反相器的输出不对!

    这个电路的输出应该是低电平0V,但是用4V电压的反相器器件仿真结果正确,用下面那个6V电压的反相器器件的仿真结果输出就是2V多的电平,请问这是怎么回事?
    发表于 08-24 08:00

    反相器,反相器是什么意思

    反相器,反相器是什么意思  在电子线路设计中,经常要用到反相器  反相器是可以将输入信号的相位反转180度,这种电路应用在
    发表于 03-08 11:52 1.1w次阅读

    带灯反相器原理及电路图

    带灯反相器原理及电路图
    发表于 03-29 15:46 1427次阅读
    带灯<b class='flag-5'>反相器</b>原理及电路图

    cmos反相器设计电路图

    本文开始介绍了CMOS反相器的定义和CMOS反相器工作原理,其次阐述了CMOS反相器传输特性与工作速度,最后详细介绍了CMOS反相器的电路图设计。
    发表于 03-27 15:34 7.9w次阅读
    cmos<b class='flag-5'>反相器</b>设计电路图

    MOS反相器和CMOS反相器的详细资料说明

    本文档的主要内容详细介绍的是MOS反相器和CMOS反相器的详细资料说明包括了:MOS反相器,电阻负载NMOS反相器,采用晶体管作为负载器件的反相器
    发表于 03-20 08:00 36次下载
    MOS<b class='flag-5'>反相器</b>和CMOS<b class='flag-5'>反相器</b>的详细资料说明

    为啥CMOS反相器要优于NMOS反相器呢?

    为啥又看反相器呢,因为想做PLL,锁相环里有PD,PD里面有锁存器,锁存器里有NAND,而NAND里又是基于反相器。所以喽。
    的头像 发表于 10-31 14:34 3093次阅读