0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

软件模拟I2C从机的实现方法及注意事项

CHANBAEK 来源:固件工人 作者:固件工人 2023-01-17 14:56 次阅读

1.1 前言

在使用I2C通信时,一般会用到软件模拟I2C。目前网络上能搜索到的软件模拟I2C一般都是模拟I2C主机,很少有模拟I2C从机的例程。由于I2C主机在进行数据收发时,有明确的可预见性,也就是主机明确知道什么时候要进行数据的收发操作,而且I2C的同步时钟信号也是由主机产生的,所以实现起来相对来说比较简单。而I2C从机的通信受制于主机,即什么时候需要进行数据的收发都是由主机发起的,数据收发的发起时机具有随机性,所以实现方法不能参照软件模拟I2C主机那样使用单纯的软件查询状态的方法。由于实际使用时,MCU的固件还会执行其他的操作,所以如果单纯使用软件查询的方法来判断I2C通信的起始信号不太现实。这里提供一种软件模拟I2C从机的实现方法,考虑使用GPIO中断的方法来及时接收I2C通信的起始信号,并进行数据的收发。

1.2 测试平台

这里使用的开发环境和相关硬件如下。

  • 操作系统:Ubuntu 20.04.2 LTS x86_64(使用uname -a命令查看)
  • 集成开发环境(IDE):Eclipse IDE for Embedded C/C++ Developers,Version: 2021-06 (4.20.0)
  • 硬件开发板:STM32F429I-DISCO
  • 本文对应的例程代码链接如下。

https://download.csdn.net/download/goodrenze/85272480

1.3 软件模拟I2C从机实现方法

这里结合开发板STM32F429I-DISCO上的STM32F429ZI的单片机来演示软件模拟I2C从机的实现方法。

I2C通信的时序图如下图1所示。

图1 I2C通信时序图

I2C通信的时序中关键的几个点如下。

1)START和ReSTART信号:用于标识I2C通信的开始,时序特点是SCL为高电平的时候,SDA从高电平变成低电平。

2)STOP信号:用于标识I2C通信的结束,时序特点是SCL为高电平的时候,SDA从低电平变成高电平。

3)应答信号:I2C通信每传输完8个比特的数据位后,紧接着需要传输应答标志位,当该位为0时,是ACK应答信号,该位为1时,是NACK无应答信号。应答信号在SCL的第9个时钟周期的位置。

4)数据采集时刻:I2C通信的数据在SCL的上升沿进行采集确认,所以在SCL的高电平期间,数据必须保持不变,防止数据采集出错。当然,START信号和STOP信号的时序在SCL高电平期间是特殊情况,具有专门的含义。

5)数据更新时刻:I2C通信的数据更新需要在SCL为低电平的时候进行。

通过以上几个关键点,软件模拟I2C从机的基本思路就有了。由于各个关键点基本都发生在SCL或SDA的上升沿或者下降沿的地方,所以可以将用于模拟I2C通信引脚的GPIO口配置成边沿中断,这样就可以通过中断实时抓取边沿信号,并在中断中进行及时的数据处理。使用GPIO的边沿中断来模拟I2C从机的好处是可以实时获取到START和STOP信号,I2C主机发过来的数据可以通过中断得到及时处理,而且程序主流程无需关心模拟I2C从机的相关处理,可以处理其他事务。

因为是I2C从机,所以SCL引脚直接固定成输入引脚即可,而SDA信号由于是双向的,所以需要根据I2C通信中的各个状态来设置输入或输出方向。另外,由于GPIO中断只在GPIO配置成输入时才会产生,所以默认情况下,SDA必须设置成输入引脚。

程序的具体设计思路如下。

1)将SCL和SDA引脚设置成GPIO的边沿中断模式,默认为输入引脚。I2C通信状态机设置成默认的IDLE状态。SCL的中断用于处理数据的收发,SDA的中断只用于START/ReSTART/STOP这些特殊信号的判断。

2)SDA引脚中断处理思路:发生下降沿中断,并且SCL为高电平,则收到START信号,状态机更新成START状态;发生上升沿中断,并且SCL为高电平,则收到STOP信号,紧接着I2C通信就应该处于空闲状态,所以这里直接将状态机设置成IDLE状态。

3)SCL引脚中断处理思路:

A. 发生下降沿中断时

A1. 如果状态机为START状态,则I2C通信正式开始,准备开始接收设备地址,状态机更新成DATA状态。

A2. 如果状态机为DATA状态,SCL下降沿计数小于8时,如果是主机读取数据,则更新SDA的位数据输出。SCL下降沿计数等于8时,进入应答阶段,状态机更新成ACK状态;如果是主机写入数据,并且是设备地址数据,则判断设备地址是否匹配,如果设备地址匹配,则将SDA设置成输出,并输出ACK信号,否则如果地址不匹配,则SDA保持为输入状态,不输出ACK信号;如果是主机读取数据,将SDA设置成输入,准备接收主机的应答信号。

A3. 如果状态机为ACK状态,这时应答信号已经传输完毕,状态机更新成DATA状态,准备继续接收或发送数据。如果是主机写入数据,将SDA设置成输入,继续接收后续数据;如果是主机读取数据,将SDA设置成输出,继续发送后续数据。

A4. 如果状态机为NACK状态,说明紧接着I2C通信将停止或重新启动,准备接收STOP或者ReSTART信号,所以需要将SDA设置成输入。此时状态机状态保持不变。

B. 发生上升沿中断时

B1. 如果状态机为DATA状态,I2C通信处于数据阶段,如果是主机写入数据,则采集主机通过SDA发送过来的位数据。

B2. 如果状态机为ACK状态,I2C通信处于应答阶段,如果是主机读取数据,则采集主机的应答信号,如果主机应答信号为1,说明主机发送了NACK的应答,状态机需要更新成NACK状态,准备接收停止或重新启动信号。

1.4 软件模拟I2C从机的代码实现

根据上面的程序思路,可以开始进行程序代码的设计,步骤如下。

1)设计I2C从机通信对应的结构体,I2C通信状态定义,I2C通信相关的宏定义的声明。部分代码如下。

// ...
#define SW_SLAVE_ADDR      0xA2


#define SW_SLAVE_SCL_CLK_EN()  __HAL_RCC_GPIOB_CLK_ENABLE()
#define SW_SLAVE_SDA_CLK_EN()  __HAL_RCC_GPIOB_CLK_ENABLE()


#define SW_SLAVE_SCL_PRT    GPIOB
#define SW_SLAVE_SCL_PIN    GPIO_PIN_6
#define SW_SLAVE_SDA_PRT    GPIOB
#define SW_SLAVE_SDA_PIN    GPIO_PIN_7


#define GPIO_MODE_MSK           0x00000003U


#define I2C_STA_IDLE      0
#define I2C_STA_START      1
#define I2C_STA_DATA      2
#define I2C_STA_ACK        3
#define I2C_STA_NACK      4
#define I2C_STA_STOP      5


#define I2C_READ        1
#define I2C_WRITE        0


#define GPIO_DIR_IN        0
#define GPIO_DIR_OUT      1
// ...
typedef struct _SwSlaveI2C_t
{
  uint8_t State;          // I2C通信状态
  uint8_t Rw;            // I2C读写标志:0-写,1-读
  uint8_t SclFallCnt;        // SCL下降沿计数
  uint8_t Flag;          // I2C状态标志,BIT0:0-地址无效,1-地址匹配
  uint32_t StartMs;        // I2C通信起始时间,单位ms,用于判断通信是否超时
  uint8_t* RxBuf;          // 指向接收缓冲区的指针
  uint8_t* TxBuf;          // 指向发送缓冲区的指针
  uint8_t RxIdx;          // 接收缓冲区数据写入索引,最大值255
  uint8_t TxIdx;          // 发送缓冲区数据读取索引,最大值255
}SwSlaveI2C_t;


extern SwSlaveI2C_t SwSlaveI2C;
// ...

2)I2C通信引脚SCL/SDA对应的GPIO的初始化。这里使用PB6/PB7引脚。代码如下。

void InitSwSlaveI2C(void)
{
  GPIO_InitTypeDef   GPIO_InitStructure;


  /* Enable I2C GPIO clock */
  SW_SLAVE_SCL_CLK_EN();
  SW_SLAVE_SDA_CLK_EN();


  /* Configure SCL GPIO pin */
  GPIO_InitStructure.Pin       = SW_SLAVE_SCL_PIN;
  GPIO_InitStructure.Mode      = GPIO_MODE_OUTPUT_OD;
  GPIO_InitStructure.Pull      = GPIO_PULLUP;
  GPIO_InitStructure.Speed     = GPIO_SPEED_FAST;
  HAL_GPIO_Init(SW_SLAVE_SCL_PRT, &GPIO_InitStructure);


  /* Configure SDA GPIO pin */
  GPIO_InitStructure.Pin       = SW_SLAVE_SDA_PIN;
  HAL_GPIO_Init(SW_SLAVE_SDA_PRT, &GPIO_InitStructure);


  /* Configure SCL GPIO pin as input interruption with pull up */
  GPIO_InitStructure.Pin       = SW_SLAVE_SCL_PIN;
  GPIO_InitStructure.Mode      = GPIO_MODE_IT_RISING_FALLING;
  HAL_GPIO_Init(SW_SLAVE_SCL_PRT, &GPIO_InitStructure);


  /* Configure SDA GPIO pin as input interruption with pull up */
  GPIO_InitStructure.Pin       = SW_SLAVE_SDA_PIN;
  HAL_GPIO_Init(SW_SLAVE_SDA_PRT, &GPIO_InitStructure);


  /* Enable and set EXTI Line9_5 Interrupt to the highest priority */
  HAL_NVIC_SetPriority(EXTI9_5_IRQn, 0, 0);
  HAL_NVIC_EnableIRQ(EXTI9_5_IRQn);
}

3)由于SCL/SDA引脚被设置成中断引脚,需要实现GPIO的中断处理函数。中断处理函数中已经包含了软件模拟I2C从机的所有功能。代码如下。

void EXTI9_5_IRQHandler(void)
{
  I2cGpioIsr();
}


void I2cGpioIsr(void)
{
  uint32_t temp;


  // 处理SCL的上下沿中断
  if(__HAL_GPIO_EXTI_GET_IT(SW_SLAVE_SCL_PIN) != RESET)
  {
    __HAL_GPIO_EXTI_CLEAR_IT(SW_SLAVE_SCL_PIN);
    // 更新通信起始时间
    SwSlaveI2C.StartMs = HAL_GetTick();
    // SCL的下降沿事件处理,此时需要更新要传输的数据
    if((SW_SLAVE_SCL_PRT->IDR & SW_SLAVE_SCL_PIN) == (uint32_t)GPIO_PIN_RESET)
    {
      switch(SwSlaveI2C.State)
      {
        case I2C_STA_START:    // 起始信号的下降沿,初始化相关参数并转到接收比特数据状态
          SwSlaveI2C.SclFallCnt = 0;
          SwSlaveI2C.RxIdx = 0;
          SwSlaveI2C.TxIdx = 0;
          SwSlaveI2C.Flag = 0;  // 默认地址不匹配
          SwSlaveI2C.RxBuf[SwSlaveI2C.RxIdx] = 0;
          SwSlaveI2C.Rw = I2C_WRITE;  // 第1字节为设备地址,一定是写入
          SwSlaveI2C.State = I2C_STA_DATA;
          break;
        case I2C_STA_DATA:
          SwSlaveI2C.SclFallCnt++;
          if(8 > SwSlaveI2C.SclFallCnt)
          {
            // 如果是主机读取数据,则在SCL低电平时更新比特数据
            if(SwSlaveI2C.Rw == I2C_READ)
            {
              if(SwSlaveI2C.TxBuf[SwSlaveI2C.TxIdx] & (1 << (7 - SwSlaveI2C.SclFallCnt)))
              {
                SET_SDA_PIN();
              }
              else
              {
                CLR_SDA_PIN();
              }
            }
          }
          else if(8 == SwSlaveI2C.SclFallCnt)
          {
            if(SwSlaveI2C.Rw == I2C_WRITE)
            {
              // 从第一个地址字节中获取读写标志位,并判断地址是否匹配
              if(SwSlaveI2C.RxIdx == 0)
              {
                if((SwSlaveI2C.RxBuf[0] & 0xFE) == SW_SLAVE_ADDR)
                {
                  SwSlaveI2C.Flag = 1;  // 地址匹配
                  SwSlaveI2C.Rw = SwSlaveI2C.RxBuf[0] & 0x01;
                }
              }
              if(SwSlaveI2C.Flag)
              {
                // 如果是主机写入数据,且地址匹配,则接收完8比特数据后,需要发送ACK信号进行应答
                SET_SDA_DIR(temp, GPIO_DIR_OUT);
                CLR_SDA_PIN();
              }
            }
            else
            {
              // 如果是主机读取数据,需要将SDA设置成输入以便判断应答标志位状态
              SET_SDA_DIR(temp, GPIO_DIR_IN);
              // 如果是主机读取数据,准备发送下一个字节的数据
              SwSlaveI2C.TxIdx++;
            }
            // 接收或发送完8比特数据后,准备发送或接收应答信号
            SwSlaveI2C.State = I2C_STA_ACK;
          }
          break;
        case I2C_STA_ACK:
          SwSlaveI2C.SclFallCnt = 0;
          if(SwSlaveI2C.Rw == I2C_WRITE)
          {
            // 如果是主机写入数据,且ACK发送完毕,则SDA设置成输入,继续接收数据
            SET_SDA_DIR(temp, GPIO_DIR_IN);
            SwSlaveI2C.RxIdx++;
            SwSlaveI2C.RxBuf[SwSlaveI2C.RxIdx] = 0;
          }
          else
          {
            // 如果是主机读取数据,且ACK接收完毕,则SDA设置成输出,继续发送数据
            SET_SDA_DIR(temp, GPIO_DIR_OUT);
            if(SwSlaveI2C.TxBuf[SwSlaveI2C.TxIdx] & 0x80)
            {
              SET_SDA_PIN();
            }
            else
            {
              CLR_SDA_PIN();
            }
          }
          SwSlaveI2C.State = I2C_STA_DATA;
          break;
        case I2C_STA_NACK:    // 如果收到了NACK,则后面将是STOP或者ReSTART信号,需要将SDA设置成输入
          SwSlaveI2C.SclFallCnt = 0;
          SET_SDA_DIR(temp, GPIO_DIR_IN);
          break;
      }
    }
    // SCL的上升沿事件处理,此时需要采集数据,而且在数据阶段,SCL高电平时数据必须保持不变
    else
    {
      switch(SwSlaveI2C.State)
      {
        case I2C_STA_DATA:  // 数据阶段,如果是主机写入数据,则采集比特数据
          if((I2C_WRITE == SwSlaveI2C.Rw) && (8 > SwSlaveI2C.SclFallCnt))
          {
            if(SW_SLAVE_SDA_PRT->IDR & SW_SLAVE_SDA_PIN)
            {
              SwSlaveI2C.RxBuf[SwSlaveI2C.RxIdx] |= (1 << (7 - SwSlaveI2C.SclFallCnt));
            }
          }
          break;
        case I2C_STA_ACK:  // 应答阶段,如果是主机读取数据,则判断ACK/NACK信号,默认状态是ACK
          if((SwSlaveI2C.Rw == I2C_READ) && (SW_SLAVE_SDA_PRT->IDR & SW_SLAVE_SDA_PIN))
          {
            SwSlaveI2C.State = I2C_STA_NACK;
          }
          break;
      }
    }
  }
  else if(__HAL_GPIO_EXTI_GET_IT(SW_SLAVE_SDA_PIN) != RESET)
  {
    __HAL_GPIO_EXTI_CLEAR_IT(SW_SLAVE_SDA_PIN);
    if((SW_SLAVE_SDA_PRT->IDR & SW_SLAVE_SDA_PIN) == (uint32_t)GPIO_PIN_RESET)
    {
      // SCL为高电平时,SDA从高变低,说明是起始信号
      if(SW_SLAVE_SCL_PRT->IDR & SW_SLAVE_SCL_PIN)
      {
        SwSlaveI2C.State = I2C_STA_START;
      }
    }
    else
    {
      // SCL为高电平时,SDA从低变高,说明是停止信号,一次I2C通信结束,直接将状态设置成空闲
      if(SW_SLAVE_SCL_PRT->IDR & SW_SLAVE_SCL_PIN)
      {
        SwSlaveI2C.State = I2C_STA_IDLE;
      }
    }
  }
}

4)为了确保模拟I2C从机通信的可靠性,额外设计了I2C通信超时处理函数。在I2C通信进行的过程中,如果通信出现了中断,则通过超时判断来重置I2C从机状态,确保出现通信异常时可以从异常状态中自动恢复。该函数需要在主流程中调用。代码如下。

void CheckSwSlaveI2cTimeout(void)
{
  uint32_t TimeMs, TimeCurMs;


  if(SwSlaveI2C.State != I2C_STA_IDLE)
  {
    TimeCurMs = HAL_GetTick();
    if(TimeCurMs >= SwSlaveI2C.StartMs)
    {
      TimeMs = TimeCurMs - SwSlaveI2C.StartMs;
    }
    else
    {
      TimeMs = ~(SwSlaveI2C.StartMs - TimeCurMs) + 1;
    }
    if(500 <= TimeMs)
    {
      // I2C通信超时的话,重置状态机,并把SDA设置成输入
      SwSlaveI2C.State = I2C_STA_IDLE;
      SET_SDA_DIR(TimeMs, GPIO_DIR_IN);
    }
  }
}

5)软件模拟I2C从机相关功能验证代码。这里需要借助STM32的另外一个I2C主机进行配合测试。这里将PF0/PF1对应的引脚配置成I2C主机,主机直接使用STM32的硬件I2C实现。PF0/PF1分别和PB7/PB6连接,然后验证数据收发的正确性。具体代码参见上面的工程链接。这里只展示最终的测试结果数据。如下图所示。

软件模拟I2C从机状态

I2C主机发送数据

软件模拟I2C从机接收数据

图2 软件模拟I2C从机数据接收验证结果

软件模拟I2C从机状态

软件模拟I2C从机发送数据

I2C主机接收数据

图3 软件模拟I2C从机数据发送验证结果

1.5 软件模拟I2C从机的注意事项

本例程中,对于400kbps速率的I2C通信,在进行代码编译链接时,需要使用-Ofast的优化方式,以提高中断处理函数的执行速度,使程序能正确执行。如果使用默认的无优化配置,会造成程序无法正确运行。

对于主频比较低的MCU,使用这里提供的软件模拟I2C从机进行I2C通信时,建议使用100kpbs以下的通信速率,并且注意使用可以提高代码执行速度的代码优化配置。

另外,建议将用于模拟SDA/SCL的GPIO引脚中断优先级设置成最高,以便能及时响应I2C通信时序的中断。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • mcu
    mcu
    +关注

    关注

    146

    文章

    16011

    浏览量

    343544
  • 主机
    +关注

    关注

    0

    文章

    896

    浏览量

    34606
  • I2C
    I2C
    +关注

    关注

    28

    文章

    1346

    浏览量

    120808
  • 软件模拟
    +关注

    关注

    0

    文章

    8

    浏览量

    7194
  • 从机
    +关注

    关注

    0

    文章

    3

    浏览量

    782
收藏 人收藏

    评论

    相关推荐

    使用两片ADU360进行I2C通信,当与进行通信时,无法进入I2C中断是为什么?

    问题说明:使用两片ADU360进行I2C通信,主机使用IO口模拟方式,使用内部外设 主机IO口模拟方式,确认是可行,当与
    发表于 01-15 06:47

    求助,关于STM32F412 I2C模式HAL库使用方法的疑问求解

    最近在做一个类似I2C hub的开发,需要一个I2C,4个I2C做主机转发
    发表于 04-22 07:47

    STM32 I2C模式

    相连接,用双中断,I2C1往I2C2上发数据,I2C接受。对于模式这一块网上没有什么资料,不知道我这样做是否对,求各位大神指教
    发表于 08-14 10:10

    基于纬图Ginkgo USB-I2C实现I2C收发数据

    Ginkgo USB-I2C适配器固件V2.8.17版本开始支持I2C功能,下面就针对这个功能的使用做简单介绍。
    发表于 02-18 10:49

    什么是软件I2C和硬件I2C

    23章“I2C–读写EEPROM”原理章节)2)阅读AHT20数据手册,编程实现:每隔2秒钟采集一次温湿度数据,并通过串口发送到上位(wi
    发表于 08-23 06:19

    请问C2prog烧录28335的方法注意事项有哪些?

    请问C2prog烧录28335方法注意事项有哪些?
    发表于 10-27 07:52

    I2C挂死怎么解决

    I2C几乎是嵌入系统中最为通用串行总线,MCU周边的各种器件只要对速度要求不高都可以使用。优点是兼容性好(几乎所有MCU都有I2C主机控制器,没有也可以用IO模拟),管脚占用少,芯片实现
    发表于 02-14 06:34

    硬件I2C模拟I2C相关资料推荐

    硬件I2C对应芯片上的I2C外设,有相应I2C驱动电路,其所使用的I2C管脚也是专用的,因而效率要远高于软件
    发表于 02-22 06:02

    怎样使用STM32的GPIO模拟I2C总线时序

    使用STM32的GPIO模拟I2C总线时序,GPIO设置为开漏模式,SDA和SCK外部必须使用上拉电阻,一般是4.7K。开漏模式的好处是,可以同时读取输入电平,而无需切换输入/输出模式。注意事项:在
    发表于 02-22 06:48

    浅析I2C总线的工作原理与使用注意事项

    情况。  I2C总线使用注意事项  当使用I2C总线时,除了遵循I2C总线规范,还需要注意以下几个要点:  1.
    发表于 03-08 14:06

    AT32F403A IO模拟I2C通信

    AT32F403A IO模拟I2C通信演示AT32F403A IO模拟I2C
    发表于 10-19 07:39

    51单片机能不能io模拟i2c

    51单片机能不能io模拟i2c,两个单片之间通讯,主机可以模拟
    发表于 11-02 07:00

    I2C介绍及应用注意事项

    中微爱芯电子有限公司的许多显示驱动芯片采用了类I2C接口,客户可通过MCU与我司的显示驱动芯片进行通信,类I2C总线具有低功耗、抗干扰强、传输距离长等优点,相比于标准I2C,不需要寻址操作,操作更加简单。下面将对我司类
    的头像 发表于 03-07 17:57 1538次阅读

    安全光幕选型方法以及注意事项

    安全光幕选型方法以及注意事项
    的头像 发表于 06-28 14:35 457次阅读
    安全光幕选型<b class='flag-5'>方法</b>以及<b class='flag-5'>注意事项</b>

    安全光幕选型方法以及注意事项

    安全光幕选型方法以及注意事项
    的头像 发表于 07-06 13:59 472次阅读
    安全光幕选型<b class='flag-5'>方法</b>以及<b class='flag-5'>注意事项</b>