0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

格雷码与二进制转换

CHANBAEK 来源:电子技术攻城狮 作者:MakerInChina.cn 2023-01-17 11:15 次阅读

格雷码是一种循环二进制编码,特点是相邻数变化时只有一位数据跳变。

  • 4位二进制和格雷码对应如下:

1、二进制到格雷码转换
1module bin_to_gray 
 2#(
 3    parameter WIDTH = 4
 4)
 5(
 6    input [WIDTH-1:0] in,
 7    output [WIDTH-1:0] out
 8);
 9
10assign out = in ^ (in >> 1);
11
12endmodule

2、格雷码转二进制
  • Verilog实现:
1module gray_to_bin
 2#(
 3    parameter WIDTH = 4
 4)
 5(
 6    input [WIDTH-1:0] in,
 7    output [WIDTH-1:0] out
 8);
 9
10// assign out[0] = ^in[3:0];
11// assign out[1] = ^in[3:1];
12// assign out[2] = ^in[3:2];
13// assign out[3] = in[3];
14
15genvar i;
16generate
17    assign out[WIDTH-1] = in[WIDTH-1];
18    for(i=0; i1; i=i+1) begin
19       assign out[i] = ^in[WIDTH-1:i]; 
20    end
21endgenerate
  • 仿真波形:

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 二进制
    +关注

    关注

    2

    文章

    705

    浏览量

    41250
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132158
  • Verilog
    +关注

    关注

    28

    文章

    1326

    浏览量

    109302
  • 格雷码
    +关注

    关注

    2

    文章

    34

    浏览量

    13093
  • 二进制编码
    +关注

    关注

    0

    文章

    7

    浏览量

    2961
收藏 人收藏

    评论

    相关推荐

    二进制码详解

    的权为21=2,b2位的权为22=4,b3位的权为23=8,例: 0111= 0×8 + 1×4 + 1×2 + 1×1=7  一般情况下,十进制二进制码之间的关系可表示为:(N)D= b3 W3 + b2 W2 + b1
    发表于 04-06 23:55

    二进制与自然二进制码的互换

    。而绝对式编码器是直接输出数字量的传感器,它是利用自然二进制或循环二进制)方式进行光电转换
    发表于 03-08 14:16

    简介及格二进制转换程序

    简介及格二进制转换程序
    发表于 04-22 09:00

    什么是BCD、8421、余三

    !!余三是在8421BCD的基础上,把每个数的代码加上0011(对应十进制数3)后得到的。
    发表于 11-03 17:36

    二进制与格转换

    二进制与格转换
    发表于 08-08 15:51

    二进制与格转换

    本帖最后由 eehome 于 2013-1-5 10:01 编辑 二进制与格转换
    发表于 08-14 09:42

    的规则和应用问题?

    今天看到一个计数的程序,搜了下定义,觉得还是云里雾里,定义写的是若任意两个相邻的代码只有一位二进制数不同,则这种编码为
    发表于 08-20 14:41

    格雷码简介及格雷码与二进制转换程序

    格雷码简介及格雷码与二进制转换程序 格雷码简介  格雷码(英文:Gray Code, Grey Code,又称作葛莱码,二进制循环码)是1880年由
    发表于 01-16 11:52 4857次阅读

    二进制转换BCD码【加3移位法】

    发表于 10-17 10:43 31次下载

    进制转换V2.1

    主要是二进制转换用的,可以转换是十进制、十六进制
    发表于 10-29 09:35 0次下载

    格雷码与二进制转换

    格雷码,又叫循环二进制码或反射二进制码,格雷码是我们在工程中常会遇到的一种编码方式,它的基本的特点就是任意两个相邻的代码只有一位二进制数不同,这点在下面会详细讲解到。格雷码的基本特点就是任意两个相邻的代码只有一位
    的头像 发表于 03-02 15:48 1.7w次阅读
    格雷码与<b class='flag-5'>二进制</b>的<b class='flag-5'>转换</b>

    虚拟化生态系统及实现从虚拟化走向云端

    在进入上世纪九十年代末期以后,虚拟化技术迎来了复兴。首先,由VMware基于二进制转换的全虚拟化,到Denali和Xen的修改客户端操作系统的半虚拟化,再到Intel和ADM的硬件虚拟化。至此至今,虚拟化技术进入了一个全面发展时期,包括有服务器虚拟化、存储虚拟化和网络虚拟
    的头像 发表于 09-13 10:01 3403次阅读

    如何把二进制转换为格雷码?格雷码是如何判断读空写满呢?

    在传递读写时钟域的指针使用格雷码来传递,如何把二进制转换为格雷码,格雷码是如何判断读空写满呢?
    的头像 发表于 09-15 09:38 7845次阅读
    如何把<b class='flag-5'>二进制</b><b class='flag-5'>转换</b>为格雷码?格雷码是如何判断读空写满呢?

    二进制如何转换为十进制?

    二进制转换为十进制(base-2到base-10) )数字和背面是一个重要的概念,因为二进制编号系统构成了所有计算机和数字系统的基础。
    的头像 发表于 06-22 10:21 2.3w次阅读

    巧用Excel划分子网的方法

    子网划分的原理虽然理论比较简单,但是运算起来还是挺复杂的。十进制二进制转换嘛,如果没有计算机在身边确实很难算。
    的头像 发表于 03-07 09:35 1051次阅读
    巧用Excel划分子网的方法