0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

带有同步器的NoC结构是解决FPGA高速时序收敛的关键原因吗?

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-12-21 09:52 次阅读

本文是一篇详细介绍ISSCC2020会议上一篇有关亚稳态解决方案的文章,该技术也使得FPGA在较高频率下的时序收敛成为了可能。亚稳态问题是芯片设计和FPGA设计中常见的问题,随着FPGA的发展,时序问题已经成为一个越来越难的挑战。单bit线网和逻辑通路扇出在巨大的芯片上扩散,而布线资源有限,这使得传统的时序收敛成为一场噩梦。

在同步设计上实现时序收敛的传统技术一个接一个地碰壁,未能实现规模化。Xilinx和Achronix在其新一代FPGA中解决了这一问题,在传统的逻辑和布线结构的基础上增加了片上网络(NoC)。NoC本质上改变了游戏规则,因为整个芯片不再需要在一个巨大的神奇汇流中实现时序收敛。现在,更小的同步块可以通过NoC传递数据,减轻了传统布线结构的负担,并将原来巨大的设计自动化工具问题分解成更小的、可管理的块。

在进行NoC布线的两家供应商中,Xilinx和Achronix中,Achronix声称通过其二维跨芯片AXI实现了最快的NoC。NoC中的每一行或每一列都实现为两个工作在2 Ghz的256位单向AXI通道,同时在每个方向上提供512 Gbps数据流量。Speedster的NoC总共有197个端点,产生27 Tbps的聚合带宽,比FPGA的传统按位布线资源少了很多。

本文从一篇芯片设计顶会(ISSCC2020)文章中设计的一款解决低电压亚稳态问题的同步器作为着眼点,试图还原NoC架构引入FPGA芯片后对FPGA的时序收敛起到积极作用的全过程。报告视频非常精彩,深入浅出,环环相扣,娓娓道来。欢迎大家留言讨论。

1f675100-80cc-11ed-8abf-dac502259ad0.jpg

昨天刚结束的ICAC2020线上会议,高峰期在线人数高达1.6万人,笔者有幸抽空听了半个下午,其中完整的听完了本文中所提到的亚稳态相关的一个会议。该报告是由上海交通大学的何卫锋博士做的,有关ICAC2020的详细信息请搜索微信“ICAC Workshop”详细了解。

报告相关文章的信息:

Chuxiong Lin, Weifeng He, Yanan Sun, Zhigang Mao, Bingxi Pei, Mingoo Seok, “A Near-Threshold-Voltage Network-on-Chip with a Metastability Error Detection and Correction Technique for Supporting a Quad-Voltage/Frequency-Domain Ultra-Low-Power System-on-a-Chip,” IEEE International Solid-State Circuits Conference (ISSCC), 2020.

1fb88b4c-80cc-11ed-8abf-dac502259ad0.png

随着物联网机器人无人机、可穿戴/植入设备等低功耗便携式设备越来越普及,超低功耗SoC芯片技术也面临着越来越大的挑战。为了降低这些SoC芯片的功耗,人们提出了如上图所示的各种技术。其中,近阈值低电压技术就是其中最受关注的一种。在超低电压下,可以很大程度的降低芯片的功耗,但随之带来了可靠性降低的代价。在上述SoC芯片中,往往具有多个电压频率域。由于超低电压下工艺等因素带来的任意两个时钟之间相位关系的不确定性,导致芯片在正常工作状态下经常会出现亚稳态问题。

什么是亚稳态?

1ff1468a-80cc-11ed-8abf-dac502259ad0.png

当一个信号从Tx时钟域传递到Rx时钟域时,因为Tx_clk和Rx_clk时钟信号相位的不确定性,就有可能会造成数据信号D的上升沿会落在Rx_clk时钟上升沿的变化范围内,如果D信号的翻转(上升沿或下降沿)和Rx_clk的上升沿(采样沿)靠的足够近的时候,就会造成了采样出来的信号Q会出现非0非1的中间状态,如果信号Q这种非0非1的状态持续时间超过了Rx_clk的时钟周期,我们就称Q信号的这种非0非1的状态是亚稳态。

20539268-80cc-11ed-8abf-dac502259ad0.png

传统解决亚稳态的方法是采用上图中“打两拍”同步器的方法。这种方法可以有效的降低出现亚稳态的几率,但不能完全避免出现亚稳态的情况。随着电压的降低,亚稳态出现的几率越来越大。而传统的同步器无法解决上图中同步器的输入端出现亚稳态的情况,因此文章就提出了一种能够解决同步器输入端出现亚稳态情况的方案。

芯片中跨时钟域信号的解决方法就是加同步器,一个同步器不行,那就再加一个。

亚稳态窗口

2062bf86-80cc-11ed-8abf-dac502259ad0.png

以接收时钟沿为基准,以接收端时钟Tclk的频率作为将数据从亚稳态到稳定状态的一个分辨时间。当数据D的翻转在上图中黄色的亚稳态的窗口之内时,意味着同步器是无法在一个时钟周期内将D信号从亚稳态恢复为稳定状态。而对应的黄色区域的这个窗口,就称为是亚稳态窗口。

作者采用蒙特卡洛仿真了一下在65nm工艺下,电压从1.5V降低到0.4V的过程中,亚稳态问题出现的几率增大了11倍。

2076bb44-80cc-11ed-8abf-dac502259ad0.png

作者提出了上图中双采样的比较电路,可通过调整Rx_clk和Rx_clkd中间的相位差Wi,分成了五种情况来说明是否出现了亚稳态的情况。进而对如何控制亚稳态的出现进行评估和分析。

如果出现了亚稳态,可以要求发送端再重传一次信号,但无法从根本上解决亚稳态问题,亚稳态问题还是会过一段时间后再次出现。为了从根本上解决出现亚稳态的问题,定义了下图中两次亚稳态出现的时间间隔TTM。降低电路中出现亚稳态出现的概率,就相当于是增大TTM的值。

209c1e20-80cc-11ed-8abf-dac502259ad0.png

为了增大TTM的值,可以考虑采样时钟上升沿与被采样数据翻转沿的相位偏差,根据这个偏差可以将电路出现亚稳态的概率分类为Class-A和Class-B,还得到一个这两种状态下相位偏差的阈值。这样整个问题就转换为想办法当相位偏差处在Class-B的时候,想办法将其相位偏差转换到Class-A状态。

20d12610-80cc-11ed-8abf-dac502259ad0.png

因此,解决办法就是在同步器电路中添加移相器。始终保证采样时钟上升沿与被采样数据翻转沿的相位偏差处在Class-A的状态。作者设计了一个叫MEDAC的同步器单元,可以自动检测到是否即将出现亚稳态并实时对相位偏差进行调整。

工作原理如下:当电路通过计数器TTM Timer发现该计数器的值小于了上图中的TTM阈值时,Phase selector模块就会驱动Phase shifter去调整Rx_clkd信号与Tx_data之间的相位偏差,使其相移偏差从Class-B状态转换到Class-A状态。从而降低了电路出现亚稳态的风险。

20f4a4aa-80cc-11ed-8abf-dac502259ad0.png

把MEDAC同步器单元应用于异步FIFO中。

21555fa2-80cc-11ed-8abf-dac502259ad0.png

再把该异步FIFO应用于一款四个Router的NOC芯片中。

2184ce22-80cc-11ed-8abf-dac502259ad0.png

NoC芯片的结构和芯片图如下:

21995ee6-80cc-11ed-8abf-dac502259ad0.png

在测试了4G个数据包后,得到了很好的测试结果。在1V电压下,亚稳态出现的概率下降了几十倍,在0.4V电压下,亚稳态出现的概率下降几千倍。很好的解决了亚稳态问题。

21ccb9c6-80cc-11ed-8abf-dac502259ad0.png

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 物联网
    +关注

    关注

    2876

    文章

    41934

    浏览量

    359745
  • SoC芯片
    +关注

    关注

    1

    文章

    550

    浏览量

    34524

原文标题:带有同步器的NoC结构是解决FPGA高速时序收敛的关键原因吗?

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    利用搭载全域硬2D NoCFPGA器件去完美实现智能化所需的高带宽低延迟计算

    在该FPGA器件的外围,这个硬2D NoC连接到所有高速接口:包括多个400G以太网、PCIe Gen5、GDDR6和DDR4/5端口。这使得Achronix的Speedster7t成为了业界第一款
    的头像 发表于 11-24 16:19 237次阅读

    记录一次时序收敛的过程

    在之前的文章里面介绍了Canny算法的原理和基于Python的参考模型,之后呢在FPGA上完成了Canny算法的实现,可是遇到了时序收敛的问题,记录一下。
    的头像 发表于 11-18 16:38 544次阅读
    记录一次<b class='flag-5'>时序</b><b class='flag-5'>收敛</b>的过程

    FPGA项目开发之同步信号和亚稳态

    FPGA项目开发之同步信号和亚稳态 让我们从触发开始,所有触发都有一个围绕活动时钟沿的建立(setup time)和保持窗口(hold time),在此期间数据不得更改。如果该窗口
    发表于 11-03 10:36

    为什么异步fifo中读地址同步在写时钟域时序分析不通过?

    为什么异步fifo中读地址同步在写时钟域时序分析不通过? 异步FIFO中读地址同步在写时钟域时序分析不通过的原因可能有以下几个方面: 1.
    的头像 发表于 10-18 15:23 395次阅读

    UltraFast设计方法时序收敛快捷参考指南(UG1292)

    电子发烧友网站提供《UltraFast设计方法时序收敛快捷参考指南(UG1292).pdf》资料免费下载
    发表于 09-15 10:38 0次下载
    UltraFast设计方法<b class='flag-5'>时序</b><b class='flag-5'>收敛</b>快捷参考指南(UG1292)

    感应同步器(2)#传感

    传感感应同步器
    未来加油dz
    发布于 :2023年08月20日 14:44:47

    感应同步器(1)#传感

    传感感应同步器
    未来加油dz
    发布于 :2023年08月20日 14:44:24

    FPGA I/O口时序约束讲解

    前面讲解了时序约束的理论知识FPGA时序约束理论篇,本章讲解时序约束实际使用。
    发表于 08-14 18:22 1009次阅读
    <b class='flag-5'>FPGA</b> I/O口<b class='flag-5'>时序</b>约束讲解

    FPGA高级时序综合教程

    FPGA高级时序综合教程
    发表于 08-07 16:07 3次下载

    时钟偏移对时序收敛有什么影响呢?

    FPGA设计中的绝大部分电路为同步时序电路,其基本模型为“寄存器+组合逻辑+寄存器”。同步意味着时序路径上的所有寄存器在时钟信号的驱动下步调
    的头像 发表于 08-03 09:27 997次阅读
    时钟偏移对<b class='flag-5'>时序</b><b class='flag-5'>收敛</b>有什么影响呢?

    AMD Versal系列FPGA NoC介绍及实战

    NoC是相对于SoC的新一代片上互连技术,从计算机发展的历史可以看到NoC 必将是SoC 之后的下一代主流技术,SoC 通常指在单一芯片上实现的数字计算机系统,总线结构是该系统的主要特征,由于其可以
    的头像 发表于 07-13 15:57 700次阅读
    AMD Versal系列<b class='flag-5'>FPGA</b> <b class='flag-5'>NoC</b>介绍及实战

    什么是同步器同步器传输数据的情景分析

    如图1所示电路结构就叫同步器。左边为时钟域clk1,右边两个FF为时钟域clk2,蓝色的为CDC(clock domain cross)路径。这种电路结构常用于两个不同的时钟域数据传输。
    的头像 发表于 06-28 14:09 1985次阅读
    什么是<b class='flag-5'>同步器</b>?<b class='flag-5'>同步器</b>传输数据的情景分析

    唠一唠解决FPGA约束中时序收敛的问题

    FPGA时序收敛,会出现很多随机性问题,上板测试大概率各种跑飞,而且不好调试定位原因,所以在上板测试前,先优化时序,再上板。
    发表于 06-26 15:41 1460次阅读
    唠一唠解决<b class='flag-5'>FPGA</b>约束中<b class='flag-5'>时序</b>不<b class='flag-5'>收敛</b>的问题

    FPGA时序分析之关键路径

    关键路径通常是指同步逻辑电路中,组合逻辑时延最大的路径(这里我认为还需要加上布线的延迟),也就是说关键路径是对设计性能起决定性影响的时序路径。
    发表于 06-21 14:14 1439次阅读
    <b class='flag-5'>FPGA</b><b class='flag-5'>时序</b>分析之<b class='flag-5'>关键</b>路径

    高级FPGA设计技巧!多时钟域和异步信号处理解决方案

    只可以使用的信号fbr2。 使用打两拍同步处理技术时指定时序约束是非常重要的,需要施加的约束是将位于第一个和第二个寄存时钟域之间的信号路径指定为假路径,即让时序分析
    发表于 06-02 14:26