0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

使用开源verilog仿真工具进行文件的编译和仿真

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-11-21 09:40 次阅读

一、本文将介绍如何使用Icarus Verilog+GTKWave来进行verilog文件的编译和仿真

Icarus VerilogIcarus Verilog极其小巧,支持全平台Windows+Linux+MacOS,并且源代码开源。通过tb文件可以生成对应的仿真波形数据文件。

通过GTKWave可以查看仿真波形图,支持将Verilog转换为VHDL文件。

1.安装iverilog:

sudoapt-getinstalliverilog
16c6b932-692f-11ed-8abf-dac502259ad0.png

安装完成查看版本

iverilog-v

2.安装gtkwave:

sudoapt-getinstallgtkwave
16e0ff72-692f-11ed-8abf-dac502259ad0.png

安装完成查看版本

gtkwave-v
16ec9bac-692f-11ed-8abf-dac502259ad0.png

tb中添加:

170633fa-692f-11ed-8abf-dac502259ad0.png

3.编译:

进入文件目录,输入命令:

iverilog*.v
171ad03a-692f-11ed-8abf-dac502259ad0.png

编译完成出现.out文件

173886fc-692f-11ed-8abf-dac502259ad0.png

生成.vcd文件

vppa.out
1748ecae-692f-11ed-8abf-dac502259ad0.png

执行后产生的文件如下:

175ac3b6-692f-11ed-8abf-dac502259ad0.png

4.用GTKWave打开VCD文件:

gtkwaveglitch.vcd
17673e8e-692f-11ed-8abf-dac502259ad0.png

执行完成后,弹出界面

1818c398-692f-11ed-8abf-dac502259ad0.png

添加波形的时候卡死,可能是glitch.vcd文件太大;

182a7264-692f-11ed-8abf-dac502259ad0.png

解决:

gtkwave,icarus支持vcd,lxt,lxt2 dump.

vcd通用但vcd dump太大,gtkwave不能很好的查看波形,导致崩溃。

所以最好之前用lxt或将vcd转化为lxt格式。lxt格式是gtkwave的专用格式。

cpglitch.vcdglitch.lxt

添加波形

18385bfe-692f-11ed-8abf-dac502259ad0.png184e4702-692f-11ed-8abf-dac502259ad0.png

5.Verilog转换为VHDL

将glitch.v文件转换为VHDL文件glitch.vhd

iverilog-tvhdl-oglitch.vhdglitch.v

审核编辑:郭婷


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132148
  • Verilog
    +关注

    关注

    28

    文章

    1325

    浏览量

    109298

原文标题:开源verilog仿真工具 | iverilog+GTKWave

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语
    发表于 01-14 09:47 0次下载

    vcs实用技巧

    VCS是编译verilog仿真器,VCS先将verilog/systemverilog文件转化为C文件
    的头像 发表于 10-25 17:22 543次阅读
    vcs实用技巧

    基本的Linux仿真环境和交叉编译工具链的配置

    基本的Linux仿真环境和交叉编译工具链的配置
    发表于 10-09 06:27

    为什么按照demo_nice的例子编译时候无法生成.verilog文件呢?

    求问大神,我想像demo_nice例子一样仿真看核内部信号,尝试在IDE中写内部寄存器值,然后转成.verilog用于vcs仿真读入ITCM,这总思路是对的吗,为什么按照demo_nice的例子
    发表于 08-16 07:14

    在Linux上用vcs+verdi对demo_nice进行仿真没有成功的原因?

    请教大神,我在Linux上用vcs+verdi对demo_nice进行仿真,但是没有成功 我是用hibrd.sdk把demo_nice编译成.verilog
    发表于 08-12 08:07

    windows下NucleiStdio编译不成功,不能生成可执行文件(.elf, .verilog等)怎么解决?

    windows 下 NucleiStdio编译不成功,不能生成可执行文件(.elf, .verilog等)具体见下图所示:
    发表于 08-12 06:25

    如何在ARM上使用Clang for Windows进行编译

    。 对于Windows on Arm设备,使用本机工具链比运行x86版本的要快得多在模仿下拍手。在仿真下运行限制了现代编译器技术的使用比如链路时间优化。这是因为在仿真下支持的32位
    发表于 08-08 06:56

    Openwrt开发指南 第26章 编写应用程序交叉编译

    可以在我们的开发板中能运行吗?答案当然是否定的,原因很简单,就是平台不同,芯片架构不同。那我们如何使得 ubuntu 编译出来的可执行文件在开发板上面运行呢,当然就要借助一些工具了,通过一些
    的头像 发表于 07-15 16:06 1494次阅读
    Openwrt开发指南 第26章 编写应用程序交叉<b class='flag-5'>编译</b>

    MES50HP——PDS与Modelsim联合仿真教程

    编译完成 4. 添加或新建 tb 文件 双击 Simulation,添加或新建 tb 文件。 添加或新建 tb 文件 5.联合仿
    发表于 06-26 10:45

    Verilog仿真激励举例

    Verilog 代码设计完成后,还需要进行重要的步骤,即逻辑功能仿真仿真激励文件称之为 testbench,放在各设计模块的顶层,以便对模
    的头像 发表于 06-02 11:35 1125次阅读
    <b class='flag-5'>Verilog</b><b class='flag-5'>仿真</b>激励举例

    VCS实用技巧分享

    VCS是编译verilog仿真器,VCS先将verilog/systemverilog文件转化为C文件
    的头像 发表于 05-30 09:26 874次阅读
    VCS实用技巧分享

    仿真器的角度理解Verilog语言2

    只作为语法设定来介绍,忽略了Verilog语言的软件特性和仿真特性。使得初学者无法理解Verilog语言在行为级语法(过程块、赋值和延迟)背后隐藏的设计思想。本文尝试从仿真器的角度对
    的头像 发表于 05-25 15:10 625次阅读
    从<b class='flag-5'>仿真</b>器的角度理解<b class='flag-5'>Verilog</b>语言2

    仿真器的角度理解Verilog语言1

    只作为语法设定来介绍,忽略了Verilog语言的软件特性和仿真特性。使得初学者无法理解Verilog语言在行为级语法(过程块、赋值和延迟)背后隐藏的设计思想。本文尝试从仿真器的角度对
    的头像 发表于 05-25 15:10 672次阅读
    从<b class='flag-5'>仿真</b>器的角度理解<b class='flag-5'>Verilog</b>语言1

    如何用vcs+verdi仿真Verilog文件

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。 源文件内容如下:
    的头像 发表于 05-11 17:03 1442次阅读
    如何用vcs+verdi<b class='flag-5'>仿真</b><b class='flag-5'>Verilog</b><b class='flag-5'>文件</b>

    如何用vcs+verdi仿真Verilog文件并查看波形呢?

    我们以一个简单的加法器为例,来看下如何用vcs+verdi仿真Verilog文件并查看波形。
    的头像 发表于 05-08 16:00 4519次阅读
    如何用vcs+verdi<b class='flag-5'>仿真</b><b class='flag-5'>Verilog</b><b class='flag-5'>文件</b>并查看波形呢?