0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado中设计锁定与增量编译方法简析

Hack电子 来源:网络交换FPGA 作者:刘欢 2022-10-10 14:16 次阅读

关于增量编译

所谓增量实现,更严格地讲是增量布局和增量布线。它是在设计改动较小的情形下参考原始设计的布局、布线结果,将其中未改动的模块、引脚和网线等直接复用,而对发生改变的部分重新布局、布线。

这样做的好处是显而易见的,即节省运行时间,能提高再次布局、布线结果的可预测性,并有助于时序收敛。

增量实现由两个流程构成:原始流程和增量流程,如图所示。其中,原始流程提供网表。这里的网表可以是布局后的DCP文件,也可以是布线后的DCP文件。

94213a04-485c-11ed-a3b6-dac502259ad0.png

增量实现流程有两种模式:高复用模式和低复用模式。在高复用模式下,布局、布线会尽可能地复用已有布局、布线的结果。

在这种情形下,place_design和route_design都只有三种directive可用,分别为Default、Explore和Quick。 以下两种情形适合于高复用模式。

情形1:很小的设计改动。

情形2:与原始设计相比,更新后的设计只是添加了调试模块,如ILA等。 由此可见,高复用模式在网表时序收敛且多达95%的逻辑单元被复用时最为有效。

与高复用模式相比,低复用模式则适用于更新后的设计与参考设计相比有较大的改动,或者用户通过read_checkpoint的选项−reuse_objects指定复用逻辑单元的情况。此时,place_design和route_design的所有−directive均可用。

当布局、布线在某些区域面临挑战时,低复用模式更为有效。例如,从网表中获得较好的Block RAMDSP的布局,或者时序难以收敛的逻辑单元。这些都可通过Tcl命令获得。

一般来说,增量编译都是与设计锁定联合使用的。

设计锁定与增量编译方法

为了实现对模块的布局(place)、布线(route)的锁定,仅适用增量编译是不够的,因为增量编译的本质目的是为了实现编译时间的缩短,还需要引入设计锁定,设计锁定的TCL命令是:lock_design –level routing

下面例说操作方法。

(1)建立工程:建立一个工程,走完综合实现的流程,如图1所示,该工程将作为样例工程(工程名:incre_compile_demo),将该工程备份一份(工程名:initial_project,后面对比要用到这个工程);

944aef20-485c-11ed-a3b6-dac502259ad0.png

图1 建好的工程

(2)找到dcp文件:增量编译需要有一个参考文件,这个参考文件是“参考设计”实现之后生成的,后缀是“.dcp”,该文件的路径一般在“.. project_1project_1.runsimpl_1”路径下,如图2所示,新建一个文件夹(名字是dcp_file),将该文件复制到其中,如图3所示;

94690500-485c-11ed-a3b6-dac502259ad0.png

图2 dcp文件

947ee5fa-485c-11ed-a3b6-dac502259ad0.png

图3新建文件夹,复制dcp文件

(3)锁定设计:前面说道,简单的增量编译是不能保证模块固定在某个位置的,为了实现这一点,需要对设计进行锁定,方法是,打开一个新的Vivado界面,然后打开dcp_file文件夹下的dcp文件(注意选择“open checkpoint”),如图4所示;打开后,在TCL Console中输入命令:“lock_design –level routing”,点击左上角保存,如图5所示,做完这一步后,设计就锁定好了,dcp文件就可以用了;

94a37f28-485c-11ed-a3b6-dac502259ad0.png

图4 vivado打开dcp界面

94bf106c-485c-11ed-a3b6-dac502259ad0.png

图5 锁定设计并保存

(4)增量编译:

1)修改代码,将顶层模块(test_compare.v)line263-line266注释取消,保存,如图6所示;

2)在主界面菜单栏处,点:Flow > Create Runs;

3)选both,点next,如图7所示;

4)勾选make active,点next,如图8所示;

5)选Do not launch now,点next,如图9所示; 6)完成后如图10所示;

7)在impl_2右键,选择“Set Incremental Compile”,选择步骤(3)中准备好的dcp文件,示意图如图11所示(注意这只是一个示意图,图中选的文件不是步骤(3)准备好的那个文件)

8)开始综合、实现,完成增量编译过程。

94dbf826-485c-11ed-a3b6-dac502259ad0.png

图6 改代码

94f074c2-485c-11ed-a3b6-dac502259ad0.png

图7 选both

950af09a-485c-11ed-a3b6-dac502259ad0.png

图8 make active

951ffc38-485c-11ed-a3b6-dac502259ad0.png

图9 Do not launch now

953d0940-485c-11ed-a3b6-dac502259ad0.png

图10 新的run已建好

954f4538-485c-11ed-a3b6-dac502259ad0.png

图11 选择参考dcp文件

3、正确性验证

怎么证明增量编译后,原始设计成功锁定了呢?我们来做一个对照实验。

样本1:原始工程,名称是: initial_project;

样本2:增量编译工程,名称是: incre_compile_demo;

样本3:原始工程复制一份出来,不进行增量编译,直接修改代码(见图6),重新综合实现,名称是:modify_project。

打开三个工程,之后open implemented design,选取几个模块,观察其在FPGA上的位置,发现样本1和样本2位置完全一样,而样本3和前两个样本不一样,说明设计锁定是成功的,如图12、13、14所示。 956a2d62-485c-11ed-a3b6-dac502259ad0.png

图12 样本1位置观察

966cec22-485c-11ed-a3b6-dac502259ad0.png

图13 样本2位置观察

96999c54-485c-11ed-a3b6-dac502259ad0.png

图14 样本3位置观察

Vivado下如何锁定设计的模块的布局布线

Xilinx官方论坛上也有相关问题的回答。

Vivado下如何锁定设计模块的布局布线 问题: 我现在设计了一个延时模块,应用后需要把该模块的布局和布线全部锁定,然后在别的项目中直接调用。现在布局没有问题。可以通过约束文件来锁定,就是布线不能大范围锁定,否则应用时会失败。

我已经尝试过增量编译(调用DCP文件)的功能,发现在增量编译中布局布线并不是全部不变的,个别走线也是会变的。

请问有办法把布线也固定下来吗?(Tool: Vivado17.3 Device: K7)

回答1:如果你用的是Ultrascale/Ultrascale+ , 我觉得PR是个不错的选择,你的目标模块可以放在静态部分,只占据很小的一块面积,剩下大块的动态部分.但是7系列有很多primitive不能放在动态,静态的部分包含的逻辑过多,剩下供你修改的逻辑偏少,不太适合目前的应用场景.

回答2:关于锁定某一个net的布线路径,请参考以下步骤:

1.打开跑完布局布线的工程,Open Implemented Design

2.找到你要锁定布线的net,选中,右键菜单点击Fixed Routing,如下图所示:

96cb2d64-485c-11ed-a3b6-dac502259ad0.png

3. Tcl Console里面会打印出一些命令,然后在Tcl Console里面敲命令:write_xdc/dirt.xdc

4. 打开导出的xdc,在最下面的部分会有所有元件的位置锁定以及FIXED_ROUTE,示例如下:

96f93f6a-485c-11ed-a3b6-dac502259ad0.png

5. 另外还需注意的是,负载中有LUT的话需要将LUT的输入pin也锁住。以下图的LUT2为例,在其property窗口中找到Cell pins,信号是连到LUT2的I0端,映射到BEL pin是A3。

971e8090-485c-11ed-a3b6-dac502259ad0.png


因此上述导出的位置锁定约束中还有一个LOCK_PINS的设置:

set_property LOCK_PINS {I0:A3} [get_cells clk_gen_i0/rst_meta_i_1] 6. 将这部分有关锁定的约束拷贝到你工程的约束文件中,重新跑implementation,这条线会按照原先的结果布。

温馨提示: 我们并不建议完全锁死某个模块的所有布线,当合入的工程比较复杂,用到的布线资源较密集时,工具没有灵活性去调整和优化,有很大的概率会布线失败。

划分静态区和动态区

除了上述的逻辑锁定方法之外,Xilinx 的FPGA还提供了静态区和动态区的划分也可以实现逻辑的锁定。只不过静态区占据了大多数的空间,动态区是可以随意修改的小部分空间。

FPGA提供了现场编程和重新编程的灵活性,无需通过改进的设计进行重新制造。部分重配置(PR)进一步提高了这种灵活性,允许通过加载部分配置文件(通常是部分BIT文件)来修改操作FPGA设计。

在完整的BIT文件配置FPGA之后,可以下载部分BIT文件以修改FPGA中的可重配置区域,而不会影响在未重新配置的设备部分上运行的应用程序的完整性。

9738fe84-485c-11ed-a3b6-dac502259ad0.png

部分可重构的基本前提

如图所示,通过下载几个部分BIT文件A1.bit,A2.bit,A3.bit或A4.bit中的一个来修改在重新配置块A中实现的功能。

FPGA设计中的逻辑分为两种不同的类型,可重构逻辑和静态逻辑。FPGA块的灰色区域表示静态逻辑,标记为Reconfig Block“A”的块部分表示可重配置逻辑。静态逻辑仍然有效,并且不受加载部分BIT文件的影响。可重配置逻辑由部分BIT文件的内容替换。

为什么在单个FPGA器件上动态地对多个硬件进行时间复用的能力是有利的。

这些包括:

•减小实现给定功能所需的FPGA器件尺寸,从而降低成本和功耗

•为应用可用的算法或协议选择提供灵活性

•实现设计安全性的新技术

•提高FPGA容错能力

•加速可配置计算 除了减小尺寸,重量,功耗和成本之外,部分重配置还可以实现没有它的新型FPGA设计。




审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA设计
    +关注

    关注

    9

    文章

    425

    浏览量

    26273
  • RAM
    RAM
    +关注

    关注

    7

    文章

    1321

    浏览量

    113705
  • DCP
    DCP
    +关注

    关注

    0

    文章

    28

    浏览量

    17027
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65099

原文标题:Vivado中增量编译与设计锁定

文章出处:【微信号:Hack电子,微信公众号:Hack电子】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    浅析可提升Vivado编译效率的增量编译方法

    增量编译:使用增量编译满足最后时刻 HDL 变动需求,仅针对已变动逻辑进行布局布线,从而可节省时间。
    的头像 发表于 12-13 10:14 4665次阅读

    介绍一种设计锁定增量编译方法

    增量实现由哪几个流程构成?增量实现流程有哪几种模式?怎么证明增量编译后,原始设计成功锁定了呢?
    发表于 02-16 07:54

    Vivado中的Incremental Compile增量编译技术详解

    Incremental Compile增量编译Vivado提供的一项高阶功能。目的旨在当设计微小的改变时,重用综合和布局布线的结果,缩短编译时间。
    的头像 发表于 07-05 06:06 1w次阅读

    Vivado Design Suite 2015.3的新功能介绍

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-20 06:55 2384次阅读

    Vivado Design Suite 2015.3新增量编译功能介绍

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-20 06:56 2550次阅读

    引入增量编译流程进行调试的好处与步骤

    了解使用Vivado 2016.1中引入的增量编译流程进行调试的好处,以及在使用增量编译实现时添加/删除/修改ILA内核所需的步骤。
    的头像 发表于 11-30 06:19 2791次阅读
    引入<b class='flag-5'>增量</b><b class='flag-5'>编译</b>流程进行调试的好处与步骤

    Vivado 2015.3中的新增量编译功能介绍

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-29 06:32 3384次阅读

    Vivado 2015.3的新增量编译功能

    了解Vivado实现中2015.3中的新增量编译功能,包括更好地处理物理优化和自动增量编译流程。
    的头像 发表于 11-30 19:24 4293次阅读

    讲述增量编译方法,提高Vivado编译效率

    当RTL代码修改较少时,使用增量编译功能可以提高工程的编译速度,Incremental Compile增量编译
    的头像 发表于 01-22 17:27 9423次阅读
    讲述<b class='flag-5'>增量</b><b class='flag-5'>编译</b><b class='flag-5'>方法</b>,提高<b class='flag-5'>Vivado</b><b class='flag-5'>编译</b>效率

    如何在Vivado中实现逻辑锁定增量编译工程实例说明

    本文针对Vivado中实现的逻辑锁定增量编译进行的工程实例介绍,文中有对应工程的下载地址。友情提示:(1)增量
    的头像 发表于 07-06 10:32 6783次阅读
    如何在<b class='flag-5'>Vivado</b>中实现逻辑<b class='flag-5'>锁定</b>和<b class='flag-5'>增量</b><b class='flag-5'>编译</b>工程实例说明

    Vivado综合引擎的增量综合流程

    Vivado 2019.1 版本开始,Vivado 综合引擎就已经可以支持增量流程了。这使用户能够在设计变化较小时减少总的综合运行时间。
    发表于 07-21 11:02 1389次阅读

    浅析Vivado增量编译与设计锁定方法与验证

    所谓增量实现,更严格地讲是增量布局和增量布线。它是在设计改动较小的情形下参考原始设计的布局、布线结果,将其中未改动的模块、引脚和网线等直接复用,而对发生改变的部分重新布局、布线。
    的头像 发表于 04-14 12:01 2493次阅读
    浅析<b class='flag-5'>Vivado</b>中<b class='flag-5'>增量</b><b class='flag-5'>编译</b>与设计<b class='flag-5'>锁定</b><b class='flag-5'>方法</b>与验证

    Vivadoz中增量编译与设计锁定

    关于增量编译所谓增量实现,更严格地讲是增量布局和增量布线。它是在设计改动较小的情形下参考原始设计的布局、布线结果,将其中未改动的模块、引脚和
    发表于 12-20 19:11 6次下载
    Vivadoz中<b class='flag-5'>增量</b><b class='flag-5'>编译</b>与设计<b class='flag-5'>锁定</b>

    Quartus中的逻辑锁定增量编译

    逻辑锁定功能可以将FPGA中的代码模块在固定区域实现,优化时序性能,提升设计可靠性。 增量编译功能,可以使设计更快速时序收敛,加快编译速度。
    的头像 发表于 05-25 11:22 899次阅读
    Quartus中的逻辑<b class='flag-5'>锁定</b>与<b class='flag-5'>增量</b><b class='flag-5'>编译</b>

    Vivado增量编译的基本概念、优点、使用方法以及注意事项

    随着FPGA设计的复杂度不断提高,设计人员需要选择更为高效的设计流程来保证开发效率和减少开发成本。其中,Vivado增量编译是一种非常重要的设计流程。本文将介绍Vivado
    的头像 发表于 05-25 18:25 3305次阅读
    <b class='flag-5'>Vivado</b><b class='flag-5'>增量</b><b class='flag-5'>编译</b>的基本概念、优点、使用<b class='flag-5'>方法</b>以及注意事项