0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

分享一种更加隐秘且更难排查的"内存泄漏"案例

冬至配饺子 来源:OpenAnolis龙蜥 作者:系统运维SIG 2022-08-04 18:21 次阅读

一、 问题现象

客户收到系统告警,K8S 集群某些节点 used 内存持续升高,top 查看进程使用的内存并不多,剩余内存不足却找不到内存的使用者,内存神秘消失,需要排查内存去哪儿了。

pYYBAGLrm-yAY6-2AAA1XFawne0737.png

执行 top 指令并按内存排序输出,内存使用最多的进程才 800M 左右,加起来远达不到 used 9G 的使用量。

pYYBAGLrm_-AAYBXAADnqlgfASU613.png

二、问题分析

2.1 内存去哪儿了?

在分析具体问题前,我们先把系统内存分类,便于找到内存使用异常的地方,从内存使用性质上,可以简单把内存分为应用内存和内核内存,两种内存使用量加上空闲内存,应该接近于 memory total,这样区分能够快速定位问题的边界。

pYYBAGLrnBGAPOyzAACyaqDzfTs924.png

其中 allocpage 指通过 __get_free_pages/alloc_pages 等 API 接口直接从伙伴系统申请的内存量(不包含 slab 和 vmalloc)。

2.1.1 内存分析

根据内存大图分别计算应用内存和内核内存,就可以知道是哪部分存在异常,但这些指标计算比较繁琐,很多内存值还存在重叠。针对这个痛点,SysOM 运维平台的内存大盘功能以可视化的方式展示内存的使用情况,并直接给出内存是否存在泄漏,本案例中,使用 SysOM 检测,直接显示 allocpage 存在泄漏,使用量接近 6G。

pYYBAGLrnCaALRiZAACT7Ctp8W0635.png

2.1.2 allocpage 内存

那既然是 alloc page 类型的内存占用多,是否可以直接从 sysfs、procfs 文件节点查看其内存使用了?很遗憾,这部分内存是内核/驱动直接调用 __get_free_page/alloc_pages 等函数从伙伴系统申请单个或多个连续的页面,系统层面没有接口查询这部分内存使用详情。如果这类内存存在泄漏,就会出现"内存凭空消失"的现象,比较难发现,问题原因也难排查。针对这个难点,我们的SysOM系统运维能够覆盖这类内存统计和原因诊断

所以需要进一步通过SysOM的诊断利器 SysAK 动态抓取这类内存的使用情况。

2.2 allocPage 类型内存排查

2.2.1 动态诊断

对于内核内存泄漏,我们直接可以使用SysAK工具来动态追踪,启动命令并等待 10 分钟。


sysak memleak -t page -i 600

pYYBAGLrnEWAFRNxAADn3Kd8KNE363.png

诊断结果显示 10 分钟内 receive_mergeable 函数分配的内存有 4919 次没有释放,内存大小在 300M 左右,分析到这里,我们就需要结合代码来确认 receive_mergeable 函数的内存分配和释放逻辑是否正确。

2.2.2 分配和释放总结

1)page_to_skb 每次会分配一个线性数据区为 128 Byte 的 skb。

2)数据区调用 alloc_pages_node 函数,一次性从伙伴系统申请 32k 内存(order=3)。

3)每个 skb 会对 32k 的 head page 产生一次引用计数,也就是只有当所有 skb 都释放时,这 32k 内存才释放回伙伴系统。

4)receive_mergeable 函数负责申请内存,但不负责释放这部分内存,只有当应用从 socket recvQ 中把数据读走才会对 head page 引用计数减一,当 page refs 为 0 时,释放回伙伴系统。

当应用消费数据比较慢,可能会导致 receive_mergeable 函数申请的内存释放不及时,而且最坏情况一个 skb 会占用 32k 内存,使用 sysak skcheck 检查 socket 接收队列和发送队列残留情况。

poYBAGLrnGeAbSX5AAAxjxKtPD4176.png

从输出可以知道,系统中只有 nginx 进程的接收队列有残留数据,socket fd=11 的 Recv-Q 有接近 3M 的数据没有接收,通过直接 kill 146935,系统内存恢复正常了,所以问题根本原因就是 nginx 没有及时收走数据了。

三、问题结论

经过与业务方沟通,最终确认是业务配置问题,导致 nginx 有一个线程没有处理数据,从而导致网卡驱动申请的内存没有及时释放,而 allocpage 内存又是无法统计的,从而出现内存凭空消失的现象。

3.1 结论验证

接收队列真的有数据残留吗,这里结合 crash 工具的 files 指令通过 fd 找到对应的sock:

pYYBAGLrnIaAdnjfAAAkz9cyEIM313.pngpoYBAGLrnIqAF_KfAABs_kiIow8718.png

通过多次观察,发现 sk_receive_queue 上的 skb 长时间没有变化,这也证明了 nginx 没有及时处理接收队列上的 skb,导致在网卡驱动中分配的内存没有释放。

四、内存泄漏疑点

在排查过程还遇到一个非常较困惑的地方,sockstat 和 slabtop 看检查 tcp mem 和 skbuff_head_cache 使用都很正常,导致进一步掩盖了网络占用的内存。

tcp mem = 32204*4K=125M

pYYBAGLrnJuAU40-AABuwzHCwEM413.png

skb 数量在 1.5万~3 万之间。

pYYBAGLrnLCAB8kbAACuYu-fgi8001.png

按照前面分析,一个skb最坏情况占用 32k 内存,那么 2 万个 skb 最大也就占 600M 左右,怎么会占用几个 G 了,难道分析有问题?如下图所示,skb 的非线性区可能还存在若干个 frag page,而每个 frag page 又可能由 compund page 组成。

poYBAGLrnMOAVTdnAACp_fOZ6gk818.png

用 crash 实际读取 skb 内存发现,有些 skb 存在 17 个 frag page,并且数据大小只有 10 Byte。

poYBAGLrnNiAYRhmAAC9APZ8D8g090.png

解析 frag page 的 order 为 3,意味着一个 frag page 占用 32k 内存。

poYBAGLrnOqALTZFAABW7fT4PTk229.png

极端情况下,一个 skb 可能占用(1+17)*8=144 页,上图 slabinfo 中skbuff_head_cache 活跃 object 数量为 15033 个,所以理论最大总内存 =144*15033*4K = 8.2G,而我们现在遇到的场景消耗 6G 的内存是完全有可能的。



审核编辑:刘清

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 接口
    +关注

    关注

    33

    文章

    7639

    浏览量

    148480
  • 驱动
    +关注

    关注

    11

    文章

    1717

    浏览量

    84340
  • 内存泄漏
    +关注

    关注

    0

    文章

    38

    浏览量

    9166
收藏 人收藏

    评论

    相关推荐

    求助,为什么 \" ld.w \" 导致某些内存内容被修改?

    ; 指令执行后,内存内容被更改。 这很难理解。 有人遇到过类似的问题吗。 以下是我的情况, 1) 在执行 " ld.w 之前 &
    发表于 01-26 07:35

    LabVIEW的"连接字符串"VI,会造成内存泄漏吗?

    大家好。请教个问题,LabVIEW的"连接字符串"VI,会造成内存泄漏吗?如果会,那么,我们如何把串口接收的数据,连接
    发表于 09-19 13:21

    分享一种内存泄漏定位排查技巧

    的调试工具,下面分享一种内存泄漏定位排查技巧。1.对malloc,free进行封装首先,我们对malloc,f
    发表于 12-17 08:13

    为什么打印函数rt_kprintf("");会多个空行?

    请问达人们,为什么打印出来的行与行之间会多行? 突然中间就多行,图2代码如下,是例程。谢谢static void led2_thread_entry(void parameter)&amp
    发表于 11-08 10:13

    教你如何搭建浅层神经网络"Hello world"

    作为图像识别与机器视觉界的 "hello world!" ,MNIST ("Modified National Institute of Standards and Technology&
    的头像 发表于 12-10 18:35 683次阅读

    几种IO口模拟串口"硬核"操作

    1、聊一聊 好了,今天为大家带来几种IO口模拟串口"硬核"操作,相信大家对类似于串口这样的电平类通信会有新的认识。 2、IO模拟串口需求 "IO模拟
    的头像 发表于 02-10 11:51 4416次阅读
    几种IO口模拟串口&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;硬核&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;操作

    &quot;STM32F0 Error: Flash Download failed - &quot;&quot;Cortex-M0&quot;&quot;解决&quot;

    开发工具CUbemx + Keil uVision5(MDK V5)错误现象在STM32F0烧录程序是出现了Error: Flash Download failed - &amp;quot
    发表于 12-01 12:06 25次下载
    &<b class='flag-5'>quot</b>;STM32F0 Error: Flash Download failed  -  &<b class='flag-5'>quot</b>;&<b class='flag-5'>quot</b>;Cortex-M0&<b class='flag-5'>quot</b>;&<b class='flag-5'>quot</b>;解决&<b class='flag-5'>quot</b>;

    闪耀香港国际科创展 杭州&amp;amp;quot;数字经济天团&amp;amp;quot;时隔三年再出海

    香港2023年4月21日 /美通社/ -- 中国杭州,正在提出要高水平重塑全国数字经济第一城。 2019年,杭州&quot;城市大脑&quot;在香港首秀;时隔3年,杭州带着20余家数字经济领域
    的头像 发表于 04-21 14:01 408次阅读
    闪耀香港国际科创展 杭州&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;数字经济天团&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;时隔三年再出海

    世界知识产权日|从“白马非马”看开源的知识产权&amp;amp;quot;围城&amp;amp;quot;

    为弱约束、高模糊的开源项目明晰其中的产权逻辑。WorldIntellectualPropertyDAY“马&quot;只相关形态而不相关颜色,&quot;白马&quot;既相关形态又相关颜色,故
    的头像 发表于 04-29 10:28 495次阅读
    世界知识产权日|从“白马非马”看开源的知识产权&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;围城&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;

    喜讯 | 凌科电气荣获国家级专精特新&amp;amp;quot;小巨人&amp;amp;quot;企业

    热烈祝贺凌科电气成功荣获国家级专精特新“小巨人”企业凌科入选国家级专精特新“小巨人”企业近日,国家级专精特新&quot;小巨人&quot;企业名单公布,凌科电气成功入选国家级专精特新&quot
    的头像 发表于 08-26 16:33 430次阅读
    喜讯 | 凌科电气荣获国家级专精特新&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;小巨人&<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;企业

    西门子博途LAD-( JMP ):若 RLO = &amp;quot;1&amp;quot; 则跳转

    可以使用“若 RLO = &quot;1&quot; 则跳转”指令中断程序的顺序执行,并从其它程序段继续执行。
    的头像 发表于 07-17 10:53 1396次阅读
    西门子博途LAD-( JMP ):若 RLO = &<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;1&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>; 则跳转

    芯片工艺的&amp;quot;7nm&amp;quot; 、&amp;quot;5nm&amp;quot;到底指什么?

    近几年,芯片产业越来越火热,一些行业内的术语大家也听得比较多了。那么工艺节点、制程是什么,&quot;7nm&quot; 、&quot;5nm&quot;又是指什么?
    的头像 发表于 07-28 17:34 5826次阅读
    芯片工艺的&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;7nm&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>; 、&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;5nm&<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;到底指什么?

    橙群微电子NanoBeacon SoC 在 &amp;amp;quot;Truly Innovative Electronics &amp;amp;quot;评选中脱颖而出

    InPlay我们的#NanoBeaconSoC产品在ElectronicsForYou的&quot;TrulyInnovativeElectronics&quot;评选中脱颖而出
    的头像 发表于 08-18 08:32 395次阅读
    橙群微电子NanoBeacon SoC 在  &<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;Truly Innovative Electronics &<b class='flag-5'>amp</b>;<b class='flag-5'>amp</b>;<b class='flag-5'>quot</b>;评选中脱颖而出

    智慧光迅荣获2023年&amp;quot;智能物联成长力企业&amp;quot;奖项

    深圳智慧光迅信息技术有限公司荣获2023年中国物联网产业大会的&quot;智能物联成长力企业&quot;奖项。
    的头像 发表于 12-09 10:21 583次阅读

    科沃斯扫地机器人通过TÜV莱茵&amp;quot;防缠绕&amp;quot;和&amp;quot;高效边角清洁&amp;quot;认证

    3月15日,在2024中国家电及消费电子博览会(AWE)上,国际独立第三方检测、检验和认证机构德国莱茵TÜV大中华区(简称&quot;TÜV莱茵&quot;)为科沃斯两款扫地机器人(型号:DDX14、DDX11)
    的头像 发表于 03-17 10:49 374次阅读