0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Uart协议及Verilog代码

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-07-31 10:26 次阅读

代码注释有些匆忙,如有错误注释还请批评,仅作参考

UART

Uart比较简单,所以仅对tx作比较详细的注释,但里面一些内容还是值得新手学习的

1开始位(低电平)+8位数据+1停止位(高电平,这里选的是一个周期高电平,也可两个)(无校验位)

1、prescale是完成一个bit需要主时钟计数的次数(其和主时钟以及波特率之间的关系参考网上文章)

2、进入uart模块的异步信号,最好使用提供的同步器同步

3、异步复位信号最好使用提供的同步器同步

4、波特率任意选,只要时钟够大,能够符合误码率计算即可,这里使用的是125Mhz

5、基本的思想就是移位

6、传输条件就是握手

7、如果使用Xlinx的片子,建议使用全局时钟资源(IBUFG后面连接BUFG的方法是最基本的全局时钟资源的使用方法)

8、这个完整的代码就是使用IBUFG+BUFG

9、传输虽然简单,但对于新手来讲,还是有挺多的知识点值得学习的点

10、公众号只是对代码进行了简单注释

UART的发送数据模块


// 欢迎大家关注公众号:AriesOpenFPGA// Q群:808033307// Language: Verilog 2001
// 代码注释有些匆忙,如有错误注释还请批评,仅作参考// UART// 1开始位+8位数据+1停止位(无校验)// prescale是完成一个bit需要主时钟计数的次数(其和主时钟以及波特率之间的关系参考网上文章)// 进入uart模块的异步信号,最好使用提供的同步器同步// 异步复位信号最好使用提供的同步器同步// 波特率任意选,只要时钟够大,能够符合误码率计算即可,这里使用的是125M// 基本的思想就是移位// 传输条件就是握手// 如果使用Xlinx的片子,建议使用全局时钟资源(IBUFG后面连接BUFG的方法是最基本的全局时钟资源的使用方法)// 这个完整的代码就是使用IBUFG+BUFG// 传输虽然简单,但对于新手来讲,还是有挺多的知识点值得学习的// 公众号只是对代码进行了简单注释`timescale 1ns / 1ps/* AXI4-Stream UART */module uart_tx #(    parameter DATA_WIDTH = 8)(    input  wire                   clk,           // 系统时钟    input  wire                   rst,           // 复位信号
   /* AXI input */    input  wire [DATA_WIDTH-1:0]  s_axis_tdata,  // 输入到这个模块准备发送出去的数据    input  wire                   s_axis_tvalid, // 有数据要输入到这个模块    output wire                   s_axis_tready, // 该模块准备好接收数据
    output wire                   txd,     // UART interface    output wire                   busy,    // Status 线忙    input  wire [15:0]            prescale // Configuration 预分度);
reg s_axis_tready_reg = 0;reg txd_reg           = 1;reg busy_reg          = 0;
reg [DATA_WIDTH:0] data_reg = 0;reg [18:0] prescale_reg     = 0;reg [3:0] bit_cnt           = 0;
assign s_axis_tready = s_axis_tready_reg;assign txd           = txd_reg;assign busy          = busy_reg;
always @(posedge clk) begin    if (rst)         begin            s_axis_tready_reg <= 0;  // 从机没有准备好发送            txd_reg           <= 1;  // 发送线拉高            prescale_reg      <= 0;  //             bit_cnt           <= 0;  // 位计数器初始化为0            busy_reg          <= 0;  // 复位后为不忙状态        end    else         begin            if (prescale_reg > 0)                 begin                    s_axis_tready_reg <= 0;                    prescale_reg      <= prescale_reg - 1;                end             else if (bit_cnt == 0)     //比特计数器为0                begin                    s_axis_tready_reg <= 1;   // 从机把ready信号拉高                    busy_reg          <= 0;   // 忙信号拉低无效                    if (s_axis_tvalid)        // 如果从机准备好接收数据                        begin                            s_axis_tready_reg <= !s_axis_tready_reg;   //                             prescale_reg      <= (prescale << 3)-1;    //                             bit_cnt           <= DATA_WIDTH+1;         // 一共10次计数                            data_reg          <= {1'b1, s_axis_tdata}; //                             txd_reg           <= 0;                    // 起始位0(起始位tx拉低,停止位拉高)                            busy_reg          <= 1;                    // 开始传输后,传输线进入忙状态                        end                end             else                 begin                    if (bit_cnt > 1)   //                         begin                            bit_cnt             <= bit_cnt - 1;                            prescale_reg        <= (prescale << 3)-1;  // 经过(prescale << 3)-1次的系统时钟计数,完成一位的移位                            {data_reg, txd_reg} <= {1'b0, data_reg};   // 移位操作                        end                     else if (bit_cnt == 1)                          begin                            bit_cnt      <= bit_cnt - 1;                            prescale_reg <= (prescale << 3);                              txd_reg      <= 1;                // 停止位1                        end                end        end end
endmodule

UART的接收模块(不详细讲解)


// Language: Verilog 2001
`timescale 1ns / 1ps
/* * AXI4-Stream UART */module uart_rx #(    parameter DATA_WIDTH = 8)(    input  wire                   clk,    input  wire                   rst,
  /* AXI output */    output wire [DATA_WIDTH-1:0]  m_axis_tdata,    output wire                   m_axis_tvalid,    input  wire                   m_axis_tready,      /* UART interface */    input  wire                   rxd,      /* Status */         output wire                   busy,    output wire                   overrun_error,    output wire                   frame_error,      /* Configuration */    input  wire [15:0]            prescale
);
reg [DATA_WIDTH-1:0] m_axis_tdata_reg = 0;reg m_axis_tvalid_reg = 0;
reg rxd_reg = 1;
reg busy_reg = 0;reg overrun_error_reg = 0;reg frame_error_reg = 0;
reg [DATA_WIDTH-1:0] data_reg = 0;reg [18:0] prescale_reg = 0;reg [3:0] bit_cnt = 0;
assign m_axis_tdata = m_axis_tdata_reg;assign m_axis_tvalid = m_axis_tvalid_reg;
assign busy = busy_reg;assign overrun_error = overrun_error_reg;assign frame_error = frame_error_reg;
always @(posedge clk) begin    if (rst) // 初始化各种参数           begin                           m_axis_tdata_reg <= 0;            m_axis_tvalid_reg <= 0;            rxd_reg <= 1;            prescale_reg <= 0;            bit_cnt <= 0;            busy_reg <= 0;            overrun_error_reg <= 0;            frame_error_reg <= 0;        end     else         begin            rxd_reg <= rxd;            overrun_error_reg <= 0;            frame_error_reg <= 0;
        if (m_axis_tvalid && m_axis_tready) // 准备有数据要发以及准被好发            begin                 m_axis_tvalid_reg <= 0;            end
        if (prescale_reg > 0) //             begin                                prescale_reg <= prescale_reg - 1;            end                     else if (bit_cnt > 0)             begin                if (bit_cnt > DATA_WIDTH+1)                     begin                        if (!rxd_reg)  // 实际的read为0时,开始计数bit                            begin                                               bit_cnt <= bit_cnt - 1;                                prescale_reg <= (prescale << 3)-1;  //prescale是16位移3位减1位,因为prescale_reg                            end                         else                             begin                                bit_cnt <= 0;                                prescale_reg <= 0;                            end                    end                                 else if (bit_cnt > 1)                     begin                        bit_cnt <= bit_cnt - 1;                        prescale_reg <= (prescale << 3)-1;                        data_reg <= {rxd_reg, data_reg[DATA_WIDTH-1:1]};                    end                                 else if (bit_cnt == 1)                     begin                        bit_cnt <= bit_cnt - 1;                        if (rxd_reg)                             begin                                m_axis_tdata_reg <= data_reg;                                m_axis_tvalid_reg <= 1;                                overrun_error_reg <= m_axis_tvalid_reg;                            end                         else                             begin                                frame_error_reg <= 1;                            end                    end            end         else             begin                busy_reg <= 0;                if (!rxd_reg)                 begin                    prescale_reg <= (prescale << 2)-2;                    bit_cnt <= DATA_WIDTH + 2;                    data_reg <= 0;                       busy_reg <= 1;                end            end                    endendendmodule

UART顶层


// Language: Verilog 2001
`timescale 1ns / 1ps
/* * AXI4-Stream UART */module uart #(    parameter DATA_WIDTH = 8)(    input  wire                   clk,    input  wire                   rst,
    /*     * AXI input     */    input  wire [DATA_WIDTH-1:0]  s_axis_tdata,    input  wire                   s_axis_tvalid,    output wire                   s_axis_tready,
    /*     * AXI output     */    output wire [DATA_WIDTH-1:0]  m_axis_tdata,    output wire                   m_axis_tvalid,    input  wire                   m_axis_tready,
    /*     * UART interface     */    input  wire                   rxd,    output wire                   txd,
    /*     * Status     */    output wire                   tx_busy,    output wire                   rx_busy,    output wire                   rx_overrun_error,    output wire                   rx_frame_error,
    /*     * Configuration     */    input  wire [15:0]            prescale
);
uart_tx #(    .DATA_WIDTH(DATA_WIDTH))uart_tx_inst (    .clk(clk),    .rst(rst),    // axi input    .s_axis_tdata(s_axis_tdata),    .s_axis_tvalid(s_axis_tvalid),    .s_axis_tready(s_axis_tready),    // output    .txd(txd),    // status    .busy(tx_busy),    // configuration    .prescale(prescale));
uart_rx #(    .DATA_WIDTH(DATA_WIDTH))uart_rx_inst (    .clk(clk),    .rst(rst),    // axi output    .m_axis_tdata(m_axis_tdata),    .m_axis_tvalid(m_axis_tvalid),    .m_axis_tready(m_axis_tready),    // input    .rxd(rxd),    // status    .busy(rx_busy),    .overrun_error(rx_overrun_error),    .frame_error(rx_frame_error),    // configuration    .prescale(prescale));
endmodule

同步(异步复位)模块


// Language: Verilog-2001// 很常用的模块`timescale 1 ns / 1 ps
/* * Synchronizes an active-high asynchronous reset signal to a given clock by * using a pipeline of N registers. */module sync_reset #(    parameter N=2 // depth of synchronizer)(    input wire clk,    input wire rst,    output wire sync_reset_out);
reg [N-1:0] sync_reg = {N{1'b1}};
assign sync_reset_out = sync_reg[N-1];
always @(posedge clk or posedge rst) begin    if (rst)        sync_reg <= {N{1'b1}};    else        sync_reg <= {sync_reg[N-2:0], 1'b0};end
endmodule

同步(异步信号)模块


// Language: Verilog-2001//很常用的模块`timescale 1 ns / 1 ps
/* * Synchronizes an asyncronous signal to a given clock by using a pipeline of * two registers. */module sync_signal #(    parameter WIDTH=1, // width of the input and output signals    parameter N=2 // depth of synchronizer)(    input wire clk,    input wire [WIDTH-1:0] in,    output wire [WIDTH-1:0] out);
reg [WIDTH-1:0] sync_reg[N-1:0];
/* * The synchronized output is the last register in the pipeline. */assign out = sync_reg[N-1];
integer k;
always @(posedge clk) begin    sync_reg[0] <= in;    for (k = 1; k < N; k = k + 1) begin        sync_reg[k] <= sync_reg[k-1];    endend
endmodule

审核编辑 :李倩


声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Verilog
    +关注

    关注

    28

    文章

    1326

    浏览量

    109302
  • uart
    +关注

    关注

    22

    文章

    1159

    浏览量

    99961
  • 代码
    +关注

    关注

    30

    文章

    4555

    浏览量

    66771

原文标题:Uart协议及Verilog代码

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    UART串口通信协议是什么?

    UART (Universal Asynchronous Receiver/Transmitter) 是一种通信接口协议,用于实现串口通信。它是一种简单的、可靠的、广泛应用的串口通信协议。它是由美国
    的头像 发表于 03-19 17:26 283次阅读

    UART协议是干啥的

    UART协议是电子传输中一种常见的信号协议,通常只需要三根信号线就可以完成数据的收发,分别是TX,RX,GND。 UART(universal asynchronous receive
    的头像 发表于 11-06 10:08 1094次阅读
    <b class='flag-5'>UART</b><b class='flag-5'>协议</b>是干啥的

    JK触发器与T触发器的Verilog代码实现和RTL电路实现

    JK 触发器的 Verilog 代码实现和 RTL 电路实现
    的头像 发表于 10-09 17:29 2537次阅读
    JK触发器与T触发器的<b class='flag-5'>Verilog</b><b class='flag-5'>代码</b>实现和RTL电路实现

    最详细的UART通讯协议分析在这里

    UART协议,将并行输入信号转换成串行输出信号。因为UART简单实用的特性,其已经成为一种使用非常广泛的通讯协议。我们日常接触到的串口,RS232,RS485等总线,内部使用的基本
    的头像 发表于 09-04 16:13 6511次阅读
    最详细的<b class='flag-5'>UART</b>通讯<b class='flag-5'>协议</b>分析在这里

    IC设计之Verilog代码规范

    Verilog规范对于一个好的IC设计至关重要。
    的头像 发表于 08-17 10:14 674次阅读
    IC设计之<b class='flag-5'>Verilog</b><b class='flag-5'>代码</b>规范

    FPGA的Verilog代码编写规范

      注:以R起头的是对编写Verilog代码的IP设计者所做的强制性规定,以G起头的条款是建议采用的规范。每个设计者遵守本规范可锻炼命名规范性。
    的头像 发表于 08-15 16:23 1221次阅读

    分享一些优秀的verilog代码 高质量verilog代码的六要素

    高质量的verilog代码至少需要包含以下几个要素:可读性、功能、性能、标准化、稳定性、可定位。
    的头像 发表于 07-18 10:09 697次阅读
    分享一些优秀的<b class='flag-5'>verilog</b><b class='flag-5'>代码</b> 高质量<b class='flag-5'>verilog</b><b class='flag-5'>代码</b>的六要素

    Verilog代码封装后门访问

    关于仿真里的后门访问,之前的文章《三分钟教会你SpinalHDL仿真中的后门读写》中有做过介绍,其针对的都是针对以SpinalHDL中的代码进行的后门访问。今天来看看当封装了Verilog BlackBox时,在SpinalHDL仿真中如何进行后门访问
    的头像 发表于 07-15 10:22 510次阅读
    <b class='flag-5'>Verilog</b><b class='flag-5'>代码</b>封装后门访问

    Verilog中Pmod ALS的SPI接口代码

    电子发烧友网站提供《Verilog中Pmod ALS的SPI接口代码.zip》资料免费下载
    发表于 06-15 09:32 0次下载
    <b class='flag-5'>Verilog</b>中Pmod ALS的SPI接口<b class='flag-5'>代码</b>

    什么是良好的Verilog代码风格?

    推荐的代码风格。3、代码风格1、规则总览在设计这个模块的时候,我主要遵从了以下几条规则:Verilog2001标准的端口定义DUMMY模块逻辑型信号用参数赋值内嵌断言memory shell2、规则解释接下来
    发表于 06-02 14:48

    基2FFT的verilog代码实现及仿真

    上文基2FFT的算法推导及python仿真推导了基2FFT的公式,并通过python做了算法验证,本文使用verilog实现8点基2FFT的代码
    的头像 发表于 06-02 12:38 694次阅读
    基2FFT的<b class='flag-5'>verilog</b><b class='flag-5'>代码</b>实现及仿真

    Vivado:ROM和RAM的verilog代码实现

    本文主要介绍ROM和RAM实现的verilog代码版本,可以借鉴参考下。
    的头像 发表于 05-16 16:57 926次阅读

    Verilog边沿检测的基本原理和代码实现

    本文将从Verilog和边沿检测的基本概念入手,介绍Verilog边沿检测的原理和应用代码示例。
    的头像 发表于 05-12 17:05 2344次阅读
    <b class='flag-5'>Verilog</b>边沿检测的基本原理和<b class='flag-5'>代码</b>实现

    如何使用参数化编写可重用的verilog代码

    我们将介绍如何使用verilog参数和generate语句来编写可重用的verilog 代码。 与大多数编程语言一样,我们应该尝试使尽可能多的代码可重用。这使我们能够减少未来项目
    的头像 发表于 05-11 15:59 710次阅读

    代码生成器配置和软件UART的实现

    (RL78)上的具体的实现方法,这里略去工程的建立过程,相应的驱动程序细节可以参考代码生成器生成的代码,这里只重点讲述代码生成器配置和软件UART的实现。
    的头像 发表于 05-09 09:25 909次阅读
    <b class='flag-5'>代码</b>生成器配置和软件<b class='flag-5'>UART</b>的实现