0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

使用ModelSim软件进行时序仿真

FPGA之家 来源:数字积木 作者:锆石科技 2022-07-18 14:17 次阅读

时序仿真与功能仿真的步骤大体相同,只不过中间需要添加仿真库、网表(.vo)文件和延时(.sdo)文件。到了这里,问题来了,仿真库、网表(.vo)文件和延时(.sdo)文件怎么获得呢?网表(.vo)文件和延时(.sdo)文件,其实我们在自动仿真的配置仿真功能中已经生成了,当我们配置好仿真功能之后,我们在 Quartus 进行一次全编译,这时,我们打开 Quartus 工程目录下 simulation/modelsim 中就能够看到 Verilog_First.vo 和 Verilog_First_v.sdo 这两个文件。我们将这两个文件复制到我们的 manual_modelsim 文件夹下。仿真库,我们需要到我们 Altera的安装目录下,也就是 C:altera13.1modelsim_asealteraverilog 中找到 cycloneive 文件夹,然后我们将 cycloneive 文件夹也复制到我们的 manual_modelsim 文件夹下。万事具备,接下来我们就可以打开我们的 ModelSim 软件,如图

fda60882-04a1-11ed-ba43-dac502259ad0.png

通过该图,我们可以看出,这个工程是我们之前做功能仿真的工程,当我们关闭 ModelSim之后,我们再次打开 ModelSim 这个软件,它会自动记录上一个我们使用的工程并打开。我们就直接在这个工程上进行更改,首先我们右键在弹出的菜单栏中找到【Add to Project】→【Existing File...】按钮并点击打开,则弹出图

fdb46d46-04a1-11ed-ba43-dac502259ad0.png

在该对话框中我们点击【Browse】,在弹出的对话框中我们找到 manual_modelsim 文件夹下的 Verilog_First.vo,然后将 Verilog_First.vo 添加至我们的 ModelSim 仿真工程中。接下来我们进行代码全编译,编译完成后,我们在 ModelSim 的菜单栏中找到【Simulate】→【StartSimulation...】按钮并点击打开,我们打开 Libraries 标签,将仿真库添加至配置仿真环境中,如图

fdc35428-04a1-11ed-ba43-dac502259ad0.png

这里我们需要注意的是,只添加一个 cycloneive 仿真库是不够的,我们还需要将我们ModeSim 仿真库中的 altera_ver 库添加进来,如图

fdccb108-04a1-11ed-ba43-dac502259ad0.png

如果不知道需要选择哪个库,我们可以先直接运行仿真,这时候 ModelSim 控制窗口中会提示错误信息,我们根据错误信息便能够分析出我们需要的库名,然后我们再重复上述步骤添加完了仿真库,接下来我们在 SDF 标签页面中添加 Verilog_First_v.sdo 文件,如图

fddadca6-04a1-11ed-ba43-dac502259ad0.png

这里需要我们注意的是,因为的我们的实例化名是 i1,所以我们填写的是/i1。添加完成之后,最后我们在返回 Design 标签页面中,找到 work 下的 Verilog_First_vlg_tst,如图

fde8b42a-04a1-11ed-ba43-dac502259ad0.png

在该页面中,我们点击【OK】就可以开始进行时序仿真了,到了这里,后面的工作就和我们的功能仿真是一样的了。至此,我们 ModelSim 软件的使用就讲解完了。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • ModelSim
    +关注

    关注

    5

    文章

    172

    浏览量

    46757
  • 时序仿真
    +关注

    关注

    0

    文章

    14

    浏览量

    7360

原文标题:ModelSim 使用【六】modelsim手动时序仿真

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Modelsim SE 进行时序仿真及altera库的添加 [转]

    为什么这样做就不是很了解了。经过昨天一天的努力,现在基本上明白了整个过程。对这篇文章进行整体的完善和补充(本人水平有限,如有错误请留言指正)。 用Modelsim对Quartus II工程进行时序
    发表于 02-01 11:37

    利用ModelSim SE6.0C实现时序仿真

    本文介绍的是如何利用ModelSim SE6.0C实现时序仿真,大家可以根据这个资料来进一步掌握ModelSim SE6.0C。如果选中“Maintainhierarchy”,则表示在
    发表于 03-01 11:46

    modelsim时序仿真总是出错为什么

    在使用quartusii modelsim仿真时。。功能仿真可以好用,。但是时序仿真就出错。。无论是手动打开
    发表于 11-26 21:06

    modelsim-altera时序仿真

    各位大神,求教如何用modelsim-ase 进行时序仿真,小弟最近被这整得焦头烂额{:4:}{:4:}。希望大家不吝赐教,最好附上详细教程。不过注意,不是modelsim SE哦。小
    发表于 01-22 15:18

    使用ModelSim进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言
    发表于 04-19 20:52 151次下载

    使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程序进行仿真,支持IEEE常见的各种硬件描述语言
    发表于 05-27 16:41 132次下载
    使用 <b class='flag-5'>ModelSim</b> <b class='flag-5'>进行</b>设计<b class='flag-5'>仿真</b>

    Modelsim的功能仿真时序仿真

    ModelSim 进行功能仿真,进行功能仿真首先要检查设计的语法是否正确;其次检查代码是否达到设计的功能要求。下文主要介绍
    发表于 11-13 15:35 8591次阅读

    使用 ModelSim 进行设计仿真详解

    本章为ModelSim的初级教程,读者读完本章可以较为熟练的使用ModelSim进行设计仿真,本章没有也不可能涉及ModelSim的各个方面
    发表于 12-24 18:29 0次下载

    modelsim仿真详细过程(功能仿真时序仿真

    modelsim仿真详细过程(功能仿真时序仿真).ModelSim不仅可以用于数字电路系统设计
    发表于 12-19 11:14 6.4w次阅读
    <b class='flag-5'>modelsim</b><b class='flag-5'>仿真</b>详细过程(功能<b class='flag-5'>仿真</b>与<b class='flag-5'>时序</b><b class='flag-5'>仿真</b>)

    仿真软件ModelSim及其应用,ModelSim仿真流程

    ModelSim不仅可以用于数字电路系统设计的功能仿真,还可以应用于数字电路系统设计的时序仿真ModelSim的使用中,最基本的步骤包括
    的头像 发表于 12-29 11:35 9263次阅读

    如何加速Modelsim仿真时间?

    Modelsim加速仿真技巧 《前言》 最近在Modelsim仿真过程中,遇到一个大问题,对于分辨率2048*500的图像数据,在进行时序
    的头像 发表于 04-02 13:58 4815次阅读

    基于ModelSim使用modelsim手动时序仿真教程

    时序仿真与功能仿真的步骤大体相同,只不过中间需要添加仿真库、网表(.vo)文件和延时(.sdo)文件。到了这里,问题来了,仿真库、网表(.v
    的头像 发表于 07-23 11:55 1955次阅读

    如何加速Modelsim仿真时间

    最近在Modelsim仿真过程中,遇到一个大问题,对于分辨率2048*500的图像数据,在进行时序约束中,发现算法模块最高只能跑到60Mhz多,而要求必须跑到100Mhz,因而时序不满
    的头像 发表于 08-08 14:15 1.2w次阅读

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado
    的头像 发表于 07-24 09:04 2009次阅读
    Vivado调用<b class='flag-5'>Modelsim</b><b class='flag-5'>仿真</b>

    如何使用 ModelSim 进行设计仿真

    ModelSim为HDL仿真工具,我们可以利用该软件来实现对所设计的VHDL或Verilog程 序进行仿真,支持IEEE常见的各种硬件描述语
    发表于 01-14 09:47 0次下载