0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado—DCP复用

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-07-04 09:37 次阅读

先看一个简单的example project,里面包含两个verilog文件:

84fb2484-fb2e-11ec-ba43-dac502259ad0.png

858547b8-fb2e-11ec-ba43-dac502259ad0.png

在顶层top里,我们例化了一个adder模块,adder为一个简单的加法器。当我们把这两个文件导入VIvado后即可进行正常的布局布线。

那么问题来了,假设adder是我的一个核心设计(加法器代表一下哈),当其他人想使用我这个adder IP时我不想交付给对方源代码,那么我应该如何做呢?

交付DCP!

何为DCP

在Vivado的设计流程各个阶段里,采用统一的数据模型:DCP(design checkpoint),在Vivado的设计流程里,无论是综合还是布局布线的各个阶段,工具都会生成DCP文件,每一步的执行设计输入均为上一阶段的DCP文件(综合阶段除外)。那么当我们想把adder以网表形式进行交付时,我们就可以生成对应的综合后的DCP文件,随后将DCP文件交付给合作方即可。

甲方:DCP制作流程

在我们的设计中,我们把adder这个模块作为设计的顶层:

85b07cb2-fb2e-11ec-ba43-dac502259ad0.png

随后进行综合,当完成后,我们会在对应的目录下看到生成了adder的DCP文件:

85ddcef6-fb2e-11ec-ba43-dac502259ad0.png

同时,我们准备一个我们adder对应的设计顶层:

85feebd6-fb2e-11ec-ba43-dac502259ad0.png

这里的adder模块不包含任何设计代码,仅包含端口声明。同时我们将这个模块声明为“black_box”

随后,我们就可以把这个DCP文件和black box文件交付给别人使用了,而不用担心我们的源代码泄漏。

乙方:DCP的使用

当我们拿到别人的DCP文件和black box端口声明文件后,我们还需要准备一个tcl文件:

861c70ca-fb2e-11ec-ba43-dac502259ad0.png

tcl文件中制定了adder.dcp的读取位置。

随后,我们将balck box文件和tcl文件导入到我们的设计中,top.v文件保持不变:

8631a198-fb2e-11ec-ba43-dac502259ad0.png

随后我们在Implement Setting中的opt_Desing中的tcl.pre中关联导入的balck.tcl文件:

864a4b26-fb2e-11ec-ba43-dac502259ad0.png

Finally,我们就可以进行正常的综合及布局布线了,布局布线完成后我们得到的网表和正常工程一模一样。

867a4e16-fb2e-11ec-ba43-dac502259ad0.png

审核编辑 :李倩

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • DCP
    DCP
    +关注

    关注

    0

    文章

    28

    浏览量

    17024
  • Vivado
    +关注

    关注

    18

    文章

    787

    浏览量

    65089

原文标题:Vivado—DCP复用

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    Vivado 使用Simulink设计FIR滤波器

    ,用户可直接在simulink下综合出网表和约束文件,打包至.dcp文件中,用户可在vivado下直接加载dcp文件调用模型。 4、直接在vivado中添加模型文件(推荐)。
    发表于 04-17 17:29

    Vivado时序问题分析

    有些时候在写完代码之后呢,Vivado时序报红,Timing一栏有很多时序问题。
    的头像 发表于 01-05 10:18 377次阅读

    VIVADO软件使用问题总结

    【关键问题!!!!重要!!!】VIVADO会在MESSAGE窗口出提示很多错误和警告信息!
    的头像 发表于 12-15 10:11 803次阅读
    <b class='flag-5'>VIVADO</b>软件使用问题总结

    Vivado设计套件用户指南

    电子发烧友网站提供《Vivado设计套件用户指南.pdf》资料免费下载
    发表于 09-14 09:55 2次下载
    <b class='flag-5'>Vivado</b>设计套件用户指南

    Vivado设计套件用户:使用Vivado IDE的指南

    电子发烧友网站提供《Vivado设计套件用户:使用Vivado IDE的指南.pdf》资料免费下载
    发表于 09-13 15:25 5次下载
    <b class='flag-5'>Vivado</b>设计套件用户:使用<b class='flag-5'>Vivado</b> IDE的指南

    vivado软件和modelsim软件的安装方法

    本文详细介绍了vivado软件和modelsim软件的安装,以及vivado中配置modelsim仿真设置,每一步都加文字说明和图片。
    的头像 发表于 08-07 15:48 1680次阅读
    <b class='flag-5'>vivado</b>软件和modelsim软件的安装方法

    如何实现基于FPGA Vivado的74系列IP封装呢?

    双击桌面图标打开Vivado 2017.2,或者选择开始>所有程序>Xilinx Design Tools> Vivado 2017.2>Vivado 2017.2;
    发表于 07-30 09:39 434次阅读
    如何实现基于FPGA <b class='flag-5'>Vivado</b>的74系列IP封装呢?

    Vivado调用Modelsim仿真

    Modelsim是十分常用的外部仿真工具,在Vivado中也可以调用Modelsim进行仿真,下面将介绍如何对vivado进行配置并调用Modelsim进行仿真,在进行仿真之前需要提前安装Modelsim软件。
    的头像 发表于 07-24 09:04 1952次阅读
    <b class='flag-5'>Vivado</b>调用Modelsim仿真

    vivado仿真流程

    vivado开发软件自带了仿真工具,下面将介绍vivado的仿真流程,方便初学者进行仿真实验。
    的头像 发表于 07-18 09:06 2451次阅读
    <b class='flag-5'>vivado</b>仿真流程

    数字电位器(DCP) 评估 USB 套件手册

    数字电位器 (DCP) 评估 USB 套件手册
    发表于 07-03 19:43 0次下载
    数字电位器(<b class='flag-5'>DCP</b>) 评估 USB 套件手册

    用 TCL 定制 Vivado 设计实现流程

    约束,可以生成时序报告,在每一步都能输出包含有网表、约束以及布局布线信息(如果有)的设计检查点(DCP)文件,大大缩短了运行时间。从使用方式上来讲,Vivado 支持工程模式(Project
    发表于 06-28 19:34

    如何在Vivado中添加时序约束呢?

    今天介绍一下,如何在Vivado中添加时序约束,Vivado添加约束的方法有3种:xdc文件、时序约束向导(Constraints Wizard)、时序约束编辑器(Edit Timing Constraints )
    的头像 发表于 06-26 15:21 2138次阅读
    如何在<b class='flag-5'>Vivado</b>中添加时序约束呢?

    Vivado布线和生成bit参数设置

    本文主要介绍Vivado布线参数设置,基本设置方式和vivado综合参数设置基本一致,将详细说明如何设置布线参数以优化FPGA设计的性能,以及如何设置Vivado压缩BIT文件。
    的头像 发表于 05-16 16:40 3160次阅读
    <b class='flag-5'>Vivado</b>布线和生成bit参数设置

    Vivado中实现ECO功能

    关于 Tcl 在 Vivado中的应用文章从 Tcl 的基本语法和在 Vivado 中的 应用展开,继上篇《用 Tcl 定制 Vivado 设计实现流程》介绍了如何扩展甚 至是定制 FPGA
    的头像 发表于 05-05 15:34 1764次阅读
    在<b class='flag-5'>Vivado</b>中实现ECO功能

    用TCL定制Vivado设计实现流程

    今天推出Xilinx已发布的《Vivado使用误区与进阶》系列:用TCL定制Vivado设计实现流程。
    的头像 发表于 05-05 09:44 721次阅读
    用TCL定制<b class='flag-5'>Vivado</b>设计实现流程