0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

DDR3约束规则与IP核时钟需求

FPGA设计论坛 来源:CSDN技术社区 作者:青豆哒哒 2022-07-03 17:20 次阅读

1、前言

FPGA端挂载DDR时,对FPGA引脚的约束和选择并不是随意的,有一定的约束规则,一般可以通过利用vivado工具中的pin assignment去选择合适的位置辅助原理图设计。

2、DDR3约束规则

XILINX 7series FPGA支持高性能存储接口,对于DDR来说分为数据(DQ,DQS,DM)和控制(地址和控制信号)两类引脚,在和FPGA互联时,DDR数据部分以字节组为单位,即每8个数据线和对应的DQS和DM数据掩码作为一组,对于64位DDR来说就有8个字节组。DDR控制部分不分组,可以任意接在同一的IO BANK内,但不能跨BANK放置。

FPGA的IO BANK有50 pins,划分为4个区域(4*12)和2个VRN/VRP管脚,DDR字节组(8DQ+2DQS+1DM)需要放在FPGA bank 区域(12 pins)里,同一个区域内除了DQS需要接在DQS位置,其余管脚可以在区域内任意换位置,区域里多余的管脚可以用于接其他控制信号(除RAS_NCAS_NWE_NCS_NODT等)。

当系统时钟推荐放置在地址控制IO BANK内,并且应当放置在SRCCMRCCDQS等位置上,当存在多个时钟CK时应该将其放置在同一字节区域内

单个DDR接口不允许使用超过3个IO BANK,并且当使用3个BANK时,地址控制部分必须在中间BANK的位置,且不允许跨BANK分布。

VRN/VRP一般用作数控阻抗DCI,但是特殊情况下也可以用作地址控制管脚。

为了减小PCB设计复杂性,通常PCB布线时需要换pin以方便走线,减小布线交叉的情况,布线时允许换pin的原则有:

1、数据部分字节区域内部可以任意换pin(除DQS专用引脚)

2、数据部分字节区域之间可以任意互换

3、地址控制部分可以在对应bank 内任意字节区域之内或者之间进行换pin,但是要保证CK信号放在srccmrccdqs等pn对上。

3、利用VIVADO辅助原理图设计

使用vivado IPCORE进行设置时可以看到比较典型的64位DDR3的引脚分配。

具体到管脚上的分配可以查看IP CORE的XDC约束文件看到,根据约束去辅助原理图设计,保证设计可用性。

3、DDR3 IP核时钟需求

首先了解DDR的工作时钟和内核时钟与数据速率的关系。下图可知工作时钟=数据速率/2,(因为DDR在时钟上下降沿都采样数据),内核时钟=数据速率/8(数据预取bit为8),因此工作时钟和内核时钟之间的关系就是4:1的关系,如果是数据速率为1600M的DDR3,工作时钟应该是800M,用户时钟为200M,同时系统还需要一个200M固定参考时钟用于延时调整,这几个时钟通常利用输入系统参考时钟(外部晶振参考时钟或者内部PLL输出时钟)经过DDR内部PLL锁出工作时钟、用户时钟、参考时钟。

原文标题:XILINX 7series FPGA挂载DDR3之引脚约束

文章出处:【微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21305

    浏览量

    593112
  • DDR3
    +关注

    关注

    2

    文章

    268

    浏览量

    41782
  • Xilinx
    +关注

    关注

    70

    文章

    2119

    浏览量

    119363
  • 时钟
    +关注

    关注

    10

    文章

    1479

    浏览量

    130304
  • IP核
    +关注

    关注

    4

    文章

    317

    浏览量

    49039

原文标题:XILINX 7series FPGA挂载DDR3之引脚约束

文章出处:【微信号:gh_9d70b445f494,微信公众号:FPGA设计论坛】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    DDR3内存的PCB仿真与设计

      地址线、控制线、时钟线组:需等长控制,地址线与控制线各分支的误差±20mil,它们与时钟线误差在±100mil,差分时钟线之间±5rail.  (2)间距约束  
    发表于 12-15 14:17

    cyclone V控制DDR3的读写,quartusII配置DDR3 ip后,如何调用实现DDR3的读写呢,谢谢

    RASn,CASn等,是IP自动产生的么?要如何配置条件,给DDR3写入数据并读取DDR3的数据,谢谢,现在头绪不清,第一次做,拜托各位解惑了
    发表于 01-14 18:15

    MIG IP管脚分配问题

    求助大神!!!FPGA对于DDR3读写,FPGA是virtex6系列配置MIG IP 时,需要管脚分配1.原理图上dm是直接接地,管脚分配那里该怎么办2.系统时钟之类的管脚分配,是需
    发表于 03-16 18:45

    基于FPGA的DDR3 SDRAM控制器的设计与优化

    进行了DDR3 SDRAM控制器的编写,分析并提出了提高带宽利用率的方法。最终将其进行类FIFO接口的封装,屏蔽掉了DDR3 IP复杂的用户接口,为
    发表于 08-02 09:34

    基于FPGA的DDR3用户接口设计

    Xilinx Virtex-6系列FPGA中使用MIG3.7 IP核实现高速率DDR3芯片控制的设计思想和设计方案。针对高速实时数字信号处理中大容量采样数据通过DDR3存储和读取的应用背景,设计和实现了
    发表于 08-30 09:59

    DDR3走线规则

    附件为DDR3走线主要的规则介绍,有兴趣的朋友可以下载看看,老手就不用了~
    发表于 03-08 20:37

    如何使用IP生成的xdc文件?

    当我们通过IP目录在Vivado中创建一些IP内核时,将使用xdc文件生成一些内核。在这个xdc文件中,它包括时序或物理约束。以DDR3控制器为例,用核心生成xdc文件。它包括时序
    发表于 03-26 12:29

    DDR3存储器接口控制器IP助力数据处理应用

    为任意或所有DDR3 SDRAM器件提供单独的终端阻抗控制,提高了存储器通道的信号完整性。图2:DDR3存储器控制器IP框图DDR3存储器
    发表于 05-24 05:00

    基于DDR3存储器的数据处理应用

    为任意或所有DDR3 SDRAM器件提供单独的终端阻抗控制,提高了存储器通道的信号完整性。图2:DDR3存储器控制器IP框图DDR3存储器
    发表于 05-27 05:00

    【原创】Altera:A10 DDR3 IP核配置教程

    后点击“OK”:四、配置IP在配置界面,“Memory Protocol”选择“DDR3”;在“General”页面“Clocks”“Memory clock frequency”配置DDR
    发表于 12-19 10:16

    Xilinx:K7 DDR3 IP核配置教程

    ”。13.点击“Generate”生成MIG控制器。四、生成文档点击“Generate”,生成MIG控制器相关的设计文档。以上就是基于Xilinx 的K7 DDR3 IP的生成配置过程。
    发表于 12-19 14:36

    ddr3内存颗粒编码规则

    ddr3内存颗粒编码规则
    发表于 07-22 06:02

    紫光同创FPGA入门指导:DDR3 读写——紫光盘古系列50K开发板实验教程

    Write Leveling 和 DQS Gate Training ➢DDR3 最快速率达 800 Mbps 三、实验设计 a. 安装 DDR3 IP PDS 安装后,需手动添
    发表于 05-19 14:28

    紫光同创FPGA入门指导:DDR3 读写——紫光盘古系列50K开发板实验教程

    和 DQS Gate Training ➢DDR3 最快速率达 800 Mbps 三、实验设计 a. 安装 DDR3 IP PDS 安装后,需手动添加
    发表于 05-31 17:45

    ALLEGRO 约束规则设置步骤(以DDR 为例).zip

    ALLEGRO约束规则设置步骤(以DDR为例)
    发表于 12-30 09:19 10次下载