0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

基于FPGA的DDS设计方案

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-07-03 16:37 次阅读

利用DDS IP实现线性调频信号

1 DDS技术简介

随着电子技术的不断发展,传统的频率合成技术逐渐不能满足人们对于频率转换速度、频率分辨率等方面的追求,直接数字频率合成技术应运而生。

直接数字频率合成技术(DDS) 是把一系列数据量形式的信号通过D/A转换器转换成模拟量形式的信号合成技术。DDS具有很多优点,比如:频率转换快、频率分辨率高、相位连续、低功耗、低成本与控制方便。

DDS技术满足了人们对于速度稳定性的需求,但是在一些控制较为复杂的系统中,DDS专用芯片不能很好的贴合要求。利用现场可编程门阵列(FPGA)实现DDS具有很大的灵活性,基本能满足现在通信系统的使用要求。

2 DDS IP使用说明

cf65c870-e635-11ec-ba43-dac502259ad0.png基于FPGA的DDS设计方案

3 线性调频信号

3.1 理论介绍

3.1.1 基本概念

线性调频(LFM)信号是瞬时频率随时间成线性变化的信号。线性调频信号也称为鸟声(Chirp)信号,因为其频谱带宽落于可听范围,听着像鸟声,所以又称Chirp扩展频谱(CSS)技术。

3.1.2 表达公式

本文重点研究Xlinx DDS IP实现线性调频信号,主要关心线性调频信号的相位变化情况,如若想要了解线性调频信号其他方面信息,请参考其他相关文章。

线性调频信号表达式:

cf88ee7c-e635-11ec-ba43-dac502259ad0.png线性调频信号数学公式

其中,t是时间,单位为秒(s);T是脉冲持续时间(周期);K是线性调频斜率,单位是Hz/s.

相位表达式:

φ(t)=πKt^2

相位变化率:

∆φ(t)=2πKt

3.1.3 应用范围

LFM技术在雷达、声纳技术中有广泛应用,例如,在雷达定位技术中,它可用来增大射频脉冲宽度、加大通信距离、提高平均发射功率,同时又保持足够的信号频谱宽度,不降低雷达的距离分辨率。

3.2 Matlab仿真

3.2.1 matlab代码

fs=100e6;%采样率
T=5e-6;%脉冲宽度
B=10e6;%信号带宽
K=B/T;%调频斜率
N=round(T*fs);%采样点数
t=linspace(0,T,N);
y=exp(1j*pi*K*t.^2);%LFM信号
theta=pi*K*t.^2;%信号相位
dtheta=pi*K*t;%相位变化量

figure;
plot(t,real(y));
title('LFM信号时域-实部');
xlabel('t/s');
ylabel('幅度');
figure;
plot(t,imag(y));
title('LFM信号时域-虚部');
xlabel('t/s');
ylabel('幅度');

figure;
plot(t,theta);
title('LFM信号相位');
xlabel('t/s');
ylabel('相位');
figure;
plot(t,dtheta);
title('LFM相位变化率');
xlabel('t/s');
ylabel('相位变化率');

3.2.2 仿真结果图像

cf9893cc-e635-11ec-ba43-dac502259ad0.pngcfb358ba-e635-11ec-ba43-dac502259ad0.png

cfc70d7e-e635-11ec-ba43-dac502259ad0.pngcfd44f5c-e635-11ec-ba43-dac502259ad0.png

3.3 FPGA实现

3.3.1 参数计算

For example:

参数与上述matlab参数一致,采样率fs:100MHz,脉冲宽度T:5us,信号带宽B:10MHz,采样点数N:500。 Xlinx DDS IP设置如下,假定相位累加器设置为32位,输出信号宽度设置为12位,可以根据自己的需求进行设计: cfe3d1f2-e635-11ec-ba43-dac502259ad0.pngDDS IP配置界面1 d004bd2c-e635-11ec-ba43-dac502259ad0.pngDDS IP配置界面2 需要注意的是相位增量不是一个定值,而是随时间呈线性变化的量。根据公式相位表达式φ(t)=πKt^2与相位变化率∆φ(t)=2πKt,端口S_AXIS_PHASE的CHAN_0_POFF 与CHAN_0_PINC设置如下:  当t = 0时 φ(t) = πKt^2 = 0;∆φ(t) = 2πKt = 0 相位变化率∆φ(t)每次增加的量为2πK∆t: 2πK∆t = 2πBT/TNfs = 2πB/N 由于DDS IP相位累加器位数Bθ(n)为32,且参数[0,2^32]对于相位弧度[0,1],那么相位增量∆θ公式如下: ∆θ = 2πB/N*1/2π*2^Bθ(n)/fs = 858993.4592≈858993 综上,CHAN_0_POFF设置为0,CHAN_0_PINC从0开始每次增加∆θ。

3.3.2 仿真结果

部分代码

//生成chirp信号
dds_compiler_0suband_reference_waveform_inst(
.aclk(samp_clk),
.aclken(dds_aclken),
.aresetn(dds_aresetn),
.s_axis_phase_tvalid(s_axis_phase_tvalid),
.s_axis_phase_tdata(s_axis_phase_tdata),
.m_axis_data_tvalid(m_axis_data_tvalid),
.m_axis_data_tdata(m_axis_data_tdata),
.m_axis_phase_tvalid(m_axis_phase_tvalid),
.m_axis_phase_tdata(m_axis_phase_tdata)
);

wiresigned[15:0]data_real=m_axis_data_tdata[15:0];
wiresigned[15:0]data_imag=m_axis_data_tdata[31:16];

仿真波形

d0162ea4-e635-11ec-ba43-dac502259ad0.png线性调频信号FPGA仿真波形

原文标题:利用DDS IP实现线性调频信号

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

审核编辑:彭静

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21301

    浏览量

    593093
  • 转换器
    +关注

    关注

    27

    文章

    8189

    浏览量

    141690
  • 频率
    +关注

    关注

    4

    文章

    1272

    浏览量

    58482
  • DDS
    DDS
    +关注

    关注

    21

    文章

    614

    浏览量

    151716

原文标题:利用DDS IP实现线性调频信号

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    基于FPGADDS正弦信号发生器的设计和实现

    电子发烧友网站提供《基于FPGADDS正弦信号发生器的设计和实现.pdf》资料免费下载
    发表于 03-24 09:34 3次下载

    使用AD9739A生成信号,用FPGADDS生成信号,为什么谐波特别明显?

    使用AD9739A生成信号,用FPGADDS生成信号,见图,谐波特别明显,尤其是3次谐波,购买的是AD9739_FMC的评估板,下图中产生250M的信号,三次谐波比750M比250M还要强!!现在不知道问题出在什么地方?
    发表于 12-07 07:57

    DDS在ROS2中的应用

    DDS在ROS2中的应用 DDS在ROS2系统中的位置至关重要,所有上层建设都建立在DDS之上。在这个ROS2的架构图中,蓝色和红色部分就是DDS。 刚才我们也提到,
    的头像 发表于 11-24 17:54 414次阅读
    <b class='flag-5'>DDS</b>在ROS2中的应用

    2023年电子设计大赛G题火源设计方案

    2023年电子设计大赛G题火源设计方案
    的头像 发表于 11-03 09:04 575次阅读
    2023年电子设计大赛G题火源<b class='flag-5'>设计方案</b>

    基于FPGA 程序的DDS IP配置和调试

    DDS 同 DSP(数字信号处理)一样,是一项关键的数字化技术。DDS 是直接数字式频率合成器(Direct Digital Synthesizer)的英文缩写。与传统的频率合成器相比,DDS 具有
    发表于 10-31 11:14 370次阅读
    基于<b class='flag-5'>FPGA</b> 程序的<b class='flag-5'>DDS</b> IP配置和调试

    基于CPLD/FPGA的多串口扩展设计方案

    电子发烧友网站提供《基于CPLD/FPGA的多串口扩展设计方案.pdf》资料免费下载
    发表于 10-27 09:45 3次下载
    基于CPLD/<b class='flag-5'>FPGA</b>的多串口扩展<b class='flag-5'>设计方案</b>

    一种用DDS激励PLL的X波段频率合成器的设计方案

    电子发烧友网站提供《一种用DDS激励PLL的X波段频率合成器的设计方案.pdf》资料免费下载
    发表于 10-24 09:10 4次下载
    一种用<b class='flag-5'>DDS</b>激励PLL的X波段频率合成器的<b class='flag-5'>设计方案</b>

    电力变压器保护设计方案

    电力变压器保护设计方案
    的头像 发表于 10-23 09:35 348次阅读
    电力变压器保护<b class='flag-5'>设计方案</b>

    基于FPGA的PCI硬件加解密卡的设计方案

    电子发烧友网站提供《基于FPGA的PCI硬件加解密卡的设计方案.pdf》资料免费下载
    发表于 10-18 11:18 0次下载
    基于<b class='flag-5'>FPGA</b>的PCI硬件加解密卡的<b class='flag-5'>设计方案</b>

    FPGADDS在信号源中的应用

    点击上方 蓝字 关注我们 1 引言 DDS同DSP(数字信号处理)一样,是一项关键的数字化技术。DDS是直接数字式频率合成器(DirectDigitalSynthesizer)的英文缩写。与传统
    的头像 发表于 07-24 17:30 530次阅读
    <b class='flag-5'>FPGA</b>和<b class='flag-5'>DDS</b>在信号源中的应用

    教你如何利用verilog轻松实现高分辨率DDS

    上图就是DDS的的FPGA实现框图,完整的DDS还应该在外面有DAC和低通滤波器的,然而很多时候我们是不需要这两个的,因为上图的DDS输出的信号就在数字域,凡是数学域的信号都可以用它参
    的头像 发表于 06-28 10:36 1128次阅读
    教你如何利用verilog轻松实现高分辨率<b class='flag-5'>DDS</b>

    求一种FPGA实现图像去雾的实现设计方案

    本文详细描述了FPGA实现图像去雾的实现设计方案,采用暗通道先验算法实现,并利用verilog并行执行的特点对算法进行了加速;
    发表于 06-05 17:01 893次阅读
    求一种<b class='flag-5'>FPGA</b>实现图像去雾的实现<b class='flag-5'>设计方案</b>

    FPGA常用运算模块-DDS信号发生器

    本文是本系列的第六篇,本文主要介绍FPGA常用运算模块-DDS信号发生器,xilinx提供了相关的IP以便于用户进行开发使用。
    的头像 发表于 05-24 10:37 4070次阅读
    <b class='flag-5'>FPGA</b>常用运算模块-<b class='flag-5'>DDS</b>信号发生器

    DDS协议测试解决方案之中间件的作用#DDS

    DDS
    北汇信息POLELINK
    发布于 :2023年05月12日 13:05:42

    DDS协议测试解决方案之--什么是中间件?#中间件

    DDS
    北汇信息POLELINK
    发布于 :2023年05月06日 08:29:22