0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

时钟IP核常用设置

FPGA之家 来源:FPGA之家 作者:FPGA之家 2022-07-03 14:42 次阅读

ISE版本为14.7

1、时钟IP核(Clocking Wizard)

第一页

57fae906-f41c-11ec-ba43-dac502259ad0.png

Clocking Features选项框中:

(1)Frequency synthesis选项是允许输出与输入时钟不同频率的时钟。

(2)Phase alignment选项是相位锁定,也就是将输出始终的相位和一个参考时钟同步,大多是和输入时钟同步。

(3)Minimize power选项为降低功耗,也就是资源,取而代之的是相位、频率的偏差,对于对时钟要求不高、资源有限的情况下可以使用,一般不推荐选此选项。

(4)Dynamic Phase Shift该选项为提供动态相移功能,选上此选项之后,可以在输入端控制相移,并有相移完成之后的反馈,相移步进长度为固定的几个值。

Jitter Optimization选项框中:

(1)Balanced就是在抖动优化时选择比较均衡的正确带宽。(一般选这个)

(2)Minimize output jitter:使输出时钟抖动最小,但是可能会增加功耗或资源,可能导致输出相位错误。

(3)Maximize input jitter filtering:该选项表示可使输入时钟抖动变大,但是可能会导致输出时钟抖动变大。

其他选项按照图中选择。

第二页

5811c1ee-f41c-11ec-ba43-dac502259ad0.png

选择输出CLK端口

第三页

58294bb6-f41c-11ec-ba43-dac502259ad0.png

可选端口,可根据实际应用选择,一般情况下reset,locked选上。

第四页

58428a36-f41c-11ec-ba43-dac502259ad0.png

第五页

5861b85c-f41c-11ec-ba43-dac502259ad0.png

可对端口进行重命名。

2、FIFO IP核(FIFO Generator)

fifo是一种先进先出的数据存储和缓冲器,其本质是RAM。fifo的位宽就是每个数据的位宽,fifo的深度简单来说是需要存多少个数据。fifo有同步fifo和异步fifo两种,同步即读写时钟相同,异步fifo即读写时钟不同。一般异步fifo用的较多,用来处理跨时钟域的问题。

第一页

587d6a66-f41c-11ec-ba43-dac502259ad0.png

接口类型选择Native类型的,AXI4是与DMA或与其他有AXI4接口通信时使用的。

第二页

5899cec2-f41c-11ec-ba43-dac502259ad0.png

(1)选择异步时钟,FIFO的主要功能就是用在异步时钟域作为缓存,所以选择独立时钟的模式使用范围更广。

(2)Memory Type :常用的有Block Ram 和 Distrubtion RAM ,前者使用片上ROM作为缓存,后者使用LUT逻辑资源搭建RAM缓存,如果使用FIFO不大,或者资源充足的情况下,使用Block RAM。

第三页

58bc6e78-f41c-11ec-ba43-dac502259ad0.png

(1)Read Mode:First-word Fall-Through 与 Stanbdard FIFO 的区别是,Standard FIFO为当给出读使能后,数据会延迟一拍;First-Word Fall-Through 则是当给出读使能,立即给出数据。

(2)根据自己的需求设置位宽和深度

第四页

58dae4fc-f41c-11ec-ba43-dac502259ad0.png

Almost Full Flag 和 Almost Empty Flag 指示FIFIO快要满/空,根据需求选择。

第五页

58f12014-f41c-11ec-ba43-dac502259ad0.png

Programmable Full Type 和 Programmable Empty Type 是:根据需要设置提前多少个数据来给自己提示即将写满还是即将读空。

第六页

5905e396-f41c-11ec-ba43-dac502259ad0.png

默认设置

第七页

591972c6-f41c-11ec-ba43-dac502259ad0.png

最后给出设置的fifo的报告,点击generate生成IP核心。

3、RAM IP核(Block Memory Generator)

第一页

592d118c-f41c-11ec-ba43-dac502259ad0.png

InterfaceType选择Native

第二页

59407fec-f41c-11ec-ba43-dac502259ad0.png

Single Port RAM:单口RAM

ADDRA 为地址线
DINA 为数据输入端
ENA 为可选端口,在其为高电平时,才使能
WEA 为写使能“当其为高电平时,DINA数据才会被写入对应的地址
DOUTA 为A输出端
CLKA 为时钟线

第三页

59585c66-f41c-11ec-ba43-dac502259ad0.png

配置写入宽度和深度

第四页

59696e2a-f41c-11ec-ba43-dac502259ad0.png

加载coe文件:

memory_initialization_radix = 10; //数据进制

memory_initialization_vector =1,2,3;//数据,数据以分号结束

第五六页

59796668-f41c-11ec-ba43-dac502259ad0.png

59901048-f41c-11ec-ba43-dac502259ad0.png

默认设置。

原文标题:Xilinx常用IP核配置

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

审核编辑:彭静

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 带宽
    +关注

    关注

    3

    文章

    824

    浏览量

    40225
  • IP
    IP
    +关注

    关注

    5

    文章

    1410

    浏览量

    148342
  • 端口
    +关注

    关注

    4

    文章

    822

    浏览量

    31633

原文标题:Xilinx常用IP核配置

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    关于FPGA IP

    对于深入学习使用FPGA的小伙伴们,特别是一些复杂的、大规模的设计应用,适宜的IP核对开发能起到事半功倍的作用。IP的概念与我们sdk里库的概念相似。IP即电路功能模块,用户可以直接
    发表于 04-29 21:01

    如何设置静态IP代理

    静态IP
    jf_60146132
    发布于 :2024年04月29日 07:46:31

    quartus的LVDS_RX IP的输入与实际传入相应的数据配置关系是什么?图像数据需要的时钟为147M而IP设置的为70M时钟

    端口例化 问题:如图上图所示,计算出图像数据需要的时钟为147M而IP设置的为70M时钟,计算出数据速率也与
    发表于 02-26 09:35

    AD9683的引脚如何与zynq 7015芯片中的JESD204 ip端口对应相连?

    目前,我在设计中想使用ZYNQ 7015系列的FPGA,利用JESD204 IP接收A/D转换器AD9683转换完成后的数据。但是JESD204 IP的端口很多,我不知道应该如何将
    发表于 12-15 07:14

    linux图形化界面设置ip

    在Linux系统中,可以使用图形化界面来设置IP地址。下面是一个详实而细致的文章,将指导您如何通过图形界面设置IP地址。 第一部分:进入网络设置
    的头像 发表于 11-27 15:19 1336次阅读

    FPGA新IP学习的正确打开方式

    本帖最后由 jf_25420317 于 2023-11-17 11:10 编辑 FPGA开发过程中,利用各种IP,可以快速完成功能开发,不需要花费大量时间重复造轮子。 当我们面对使用新IP
    发表于 11-17 11:09

    centos7如何设置静态IP

    在CentOS 7上设置静态IP是一个简单而重要的任务,尤其对于服务器管理员来说。在本文中,我们将详细介绍如何在CentOS 7上设置静态IP。 CentOS是一种基于Linux的操作
    的头像 发表于 11-17 11:06 697次阅读

    HLS中RTL无法导出IP是为什么?

    请教一下,我在HLS里面要将以下程序生成IP,C Synthesis已经做好了,但是在export RTL的时候一直在运行 int sum_single(int A int B
    发表于 09-28 06:03

    S3C2440如何设置系统时钟

    S3C2440如何设置系统时钟 S3C2440是一款嵌入式处理器,属于SAMSUNG公司的ARM9系列。系统时钟是嵌入式系统中非常重要的一个参数,它决定了系统的计算速度和精度,是系统稳定性的关键因素
    的头像 发表于 09-02 15:12 571次阅读

    可以列出时钟设置以生成目标PLL和PWM设置的应用程序

    。M480可生成来自BPWM和EPWM的PWM波形波形。 这两个示例代码列出了PLL和BPWM/EPWM设置,可以从指定的输入时钟频率生成目标输出频率。 您可以在下列时间下载样本代码http://www.nuvoton.com/resources-downlo.010
    发表于 08-23 06:46

    SPI IP用户指南

    的协议版本。安路的 SPI IP 具有极高的灵活性,用户可通过配置 SPIIP 的主/从类型,时钟极性,时钟相位,数据宽度,数据传输顺序
    发表于 08-09 06:19

    RQS_CLOCK-12时钟设置建议

    在本篇博文中,我们来聊聊“RQS_CLOCK-12”时钟设置建议以及它如何帮助达成时序收敛。
    的头像 发表于 07-26 09:53 441次阅读
    RQS_CLOCK-12<b class='flag-5'>时钟</b><b class='flag-5'>设置</b>建议

    MES50HP——IP安装与查看用户指南

    1.安装 IP (1)打开工程,点击菜单栏中【tools】 下的【IP Compiler】。 IP Compiler (2)在弹出的
    发表于 06-26 10:41

    技术分享 | i.MX8M Plus开发板 固定IP地址以及单网口多IP设置

    以启扬IMX8MP开发板为例,给大家分享固定IP地址以及单网口多IP设置的步骤流程。固定IP地址设置20-wired.network重启Ne
    的头像 发表于 06-21 17:41 847次阅读
    技术分享 | i.MX8M Plus开发板 固定<b class='flag-5'>IP</b>地址以及单网口多<b class='flag-5'>IP</b><b class='flag-5'>设置</b>

    S32G2是ip还是外设?

    S32G2 聚四氟乙烯 S32G2是ip还是外设? 如果是ip,是否可以集成到其他SoC中? 谢谢
    发表于 06-02 08:04