0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

芯片制程技术从3nm走向了2nm

独爱72H 来源:Ai芯天下、OFweek 作者:Ai芯天下、OFweek 2022-06-27 10:04 次阅读

IBM于纽约时间5月6日在其官网宣布制造出世界上第一颗2nm芯片,揭开了半导体设计和工艺方面的突破。

IBM预计与当今最新一代的AMD最新一代CPUGPU所采用的最先进的7nm芯片相比,2nm芯片将实现提高45%的性能或降低75%的功耗。该芯片的制造者为IBM奥尔巴尼研究室(IBM Research Albany),该实验室与三星英特尔签署了联合技术开发协议,商定合作使用IBM的芯片制造技术。目前担任IBM混合云研究副总裁的MukeshKhare带领其完成了2纳米技术的突破。

在这个芯片上,IBM用上了一个被称为纳米片堆叠的晶体管,它将NMOS晶体管堆叠在PMOS晶体管的顶部,而不是让它们并排放置以获取电压信号并将位从1翻转为零或从0翻转为1。这些晶体管有时也称为gateallaround或GAA晶体管,这是当前在各大晶圆厂被广泛采用的3D晶体管技术FinFET的接班人。

FinFET晶体管将晶体管的源极和漏极通道拉入栅极,而纳米片将多个源极和漏极通道嵌入单个栅极以提高密度。

IBM采用2纳米工艺制造的测试芯片,每平方毫米面积上的晶体管数量平均下来是3.3亿个,在指甲大小的芯片中,一共容纳了500亿个晶体管。在IBM的这个实现方案下,纳米片有三层,每片的宽度为40纳米,高度为5纳米。(注意,这里没有测量的特征实际上是在2纳米处。

2纳米芯片的制造还包括首次使用所谓的底部电介质隔离,它可以减少电流泄漏,因此有助于减少芯片上的功耗。重要的是,IBM这个芯片上的所有关键功能都将使用EUV光刻技术进行蚀刻,IBM也已经弄清楚了如何使用单次曝光EUV来减少用于蚀刻芯片的光学掩模的数量。

这样的改善带来的最终结果是,制造2纳米芯片所需的步骤要比7纳米芯片少得多,这将促进整个晶圆厂的发展,并可能也降低某些成品晶圆的成本。与当前将使用在Power10芯片的7纳米制程相比,这种2纳米制程有望将速度提高45%或以相同速度运行,将功耗降低75%。

本文整合自:Ai芯天下、OFweek

责任编辑:符乾江

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • IBM
    IBM
    +关注

    关注

    3

    文章

    1671

    浏览量

    74272
  • 芯片制程
    +关注

    关注

    0

    文章

    50

    浏览量

    4546
  • 2nm
    2nm
    +关注

    关注

    1

    文章

    195

    浏览量

    4357
收藏 人收藏

    评论

    相关推荐

    台积电冲刺2nm量产,2nm先进制程决战2025

    人员接手试产及量产作业的种子团队,推动新竹宝山和高雄厂于 2024年同步南北试产、2025年量产。   从1971的10000nm制程到5nm,从5nm
    的头像 发表于 08-20 08:32 2157次阅读
    台积电冲刺<b class='flag-5'>2nm</b>量产,<b class='flag-5'>2nm</b>先进<b class='flag-5'>制程</b>决战2025

    三星电子澄清:3nm芯片并非更名2nm,下半年将量产

    李时荣声称,“客户对代工企业的产品竞争力与稳定供应有严格要求,而4nm工艺已步入成熟良率阶段。我们正积极筹备后半年第二代3nm工艺及明年2nm工艺的量产,并积极与潜在客户协商。”
    的头像 发表于 03-21 15:51 155次阅读

    采用3nm制程 联发科天玑9400性能将超越骁龙8 Gen4

    3nm制程行业资讯
    北京中科同志科技股份有限公司
    发布于 :2024年02月01日 09:29:15

    台积电2nm制程进展顺利

    台中科学园区已初步规划A14和A10生产线,将视市场需求决定是否新增2nm制程工艺。
    的头像 发表于 01-31 14:09 293次阅读

    台积电在2nm制程技术上展开防守策略

    台积电的2nm技术3nm技术的延续。一直以来,台积电坚定地遵循着每一步一个工艺节点的演进策略,稳扎稳打,不断突破。
    发表于 01-25 14:14 130次阅读

    三大芯片巨头角逐2nm技术

    过去数十年里,芯片设计团队始终专注于小型化。减小晶体管体积,能降低功耗并提升处理性能。如今,2nm3nm已取代实际物理尺寸,成为描述新一代芯片的关键指标。
    的头像 发表于 12-12 09:57 232次阅读

    2nm意味着什么?2nm何时到来?它与3nm有何不同?

    3nm工艺刚量产,业界就已经在讨论2nm了,并且在调整相关的时间表。2nm工艺不仅对晶圆厂来说是一个重大挑战,同样也考验着EDA公司,以及在此基础上设计芯片的客户。
    的头像 发表于 12-06 09:09 827次阅读

    台积电有望2025年量产2nm芯片

           在台积电的法人说明会上据台积电总裁魏哲家透露台积电有望2025年量产2nm芯片。 目前,台积电已经开始量产3nm工艺; 台湾新竹宝山、高雄两座工厂的2nm
    的头像 发表于 10-20 12:06 969次阅读

    2nm芯片什么时候出 2nm芯片手机有哪些

    2nm芯片什么时候出 2nm芯片什么时候出这个问题目前没有相关官方的报道,因此无法给出准确的回答。根据网上的一些消息台积电于6月16日在2022年度北美
    的头像 发表于 10-19 17:06 903次阅读

    2nm芯片是什么意思 2nm芯片什么时候量产

    可以容纳更多的晶体管在同样的芯片面积上,从而提供更高的集成度和处理能力。此外,较小的节点尺寸还可以降低电路的功耗,提供更高的能效。可以说,2nm芯片代表了制程工艺的最新进展和
    的头像 发表于 10-19 16:59 2268次阅读

    2nm芯片工艺有望破冰吗?

    芯片2nm
    亿佰特物联网应用专家
    发布于 :2023年10月11日 14:52:41

    高通或成为台积电3nm制程的第三家客户

    苹果已经发布了基于台积电3nm制程的A17 Pro处理器。最近,有消息称,高通的下一代5G旗舰芯片也将采用台积电3nm制程,并预计会在10月
    的头像 发表于 09-26 16:51 1443次阅读

    台积电放弃28nm工厂,改建2nm

    据了解,台积电已将高雄厂敲定2nm计划向经济部及高雄市政府提报,希望政府协助后续供水及供电作业。因2nm制程将采用更耗电的极紫外光(EUV)微影设备,耗电量比位于南科的3nm更大,台积
    的头像 发表于 07-18 15:19 723次阅读
    台积电放弃28<b class='flag-5'>nm</b>工厂,改建<b class='flag-5'>2nm</b>?

    2nm芯片能带来什么?2nm制程之争将全面打响?

    消费电子市场持续疲软、人工智能火热的大环境下,晶圆制造厂商积极瞄准高性能芯片2nm先进制程之争愈演愈烈。
    的头像 发表于 07-17 18:24 1657次阅读

    2nm大战 全面打响

    芯片制造领域,3nm方兴未艾,围绕着2nm的竞争已经全面打响。
    的头像 发表于 06-28 15:58 496次阅读
    <b class='flag-5'>2nm</b>大战 全面打响