0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

ASML正致力于新一代High-NA光刻机制造,每台预计售价4亿美元

汽车玩家 来源:网络整理 作者:网络整理 2022-05-22 14:40 次阅读

近日,据外媒路透社报道称,全球最先进的光刻机生产厂商ASML正致力于进行新一代光刻机的制造,预计第一台会在明年完工,将在2025能够正式投入使用,每台新光刻机售价预计在4亿美元左右。

新光刻机采用了High-NA光刻技术,High-NA指的是高数值孔径,据了解,这种High-NA光刻技术能降低66%的尺寸,也就意味着芯片制程能够进一步得到升级,芯片也将获得更高的性能,2nm之后的技术都得用这种技术来实现。High-NA光刻技术被认为是延续摩尔定律的关键。

不过采用了High-NA光刻技术的光刻机体积会比之前的光刻机要大30%左右,据悉重量会达到200吨,大小将会和双层巴士差不多大。

目前AMSL在High-NA光刻技术上还有一些压力,因为虽然光刻机是ASML生产的,但是ASML有着几百家零件供应商,对供应商的依赖性比较严重,所以一旦供应链出了问题,那么ASML的整个光刻机产业链都将受到影响。

综合整理自 DeepTech深科技 中关村在线 IT之家

审核编辑 黄昊宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 光刻机
    +关注

    关注

    31

    文章

    1117

    浏览量

    46360
  • ASML
    +关注

    关注

    7

    文章

    669

    浏览量

    40701
收藏 人收藏

    评论

    相关推荐

    光刻机巨头阿斯麦业绩爆雷 ASML公司一季度订单下滑

    光刻机巨头阿斯麦业绩爆雷 ASML公司一季度订单下滑 光刻机巨头阿斯麦业绩爆雷了,阿斯麦(ASML)在4月17日披露的一季度订单远低于市场预期,这使得阿斯麦(
    的头像 发表于 04-18 16:43 396次阅读

    Intel和ASML宣布全球第一台High-NA光刻机“首光”

    荷兰ASML是世界上最先进的光刻设备制造商,最近该公司启动了第一台high-NA(numerical aperture,数值孔径)设备,以确保其正常工作。Intel也加入了这一行列,因
    的头像 发表于 04-08 10:12 341次阅读

    ASML 首台新款 EUV 光刻机 Twinscan NXE:3800E 完成安装

    ASML 官网尚未上线 Twinscan NXE:3800E 的信息页面。 除了正在研发的 High-NA EUV 光刻机 Twinscan EXE 系列,ASML 也为其 NXE
    的头像 发表于 03-14 08:42 104次阅读
    <b class='flag-5'>ASML</b> 首台新款 EUV <b class='flag-5'>光刻机</b> Twinscan NXE:3800E 完成安装

    光刻机巨头ASML要搬离荷兰?

    据荷兰《电讯报》3月6日报道,因荷兰政府的反移民政策倾向,光刻机巨头阿斯麦(ASML)正计划搬离荷兰。
    的头像 发表于 03-08 14:02 438次阅读

    英特尔成为全球首家购买3.8亿美元高数值孔径光刻机的厂商

    英特尔最近因决定从荷兰 ASML 购买世界上第一台高数值孔径(High-NA)光刻机而成为新闻焦点。到目前为止,英特尔是全球唯一一家订购此类光刻机的晶圆厂,据报道它们的
    的头像 发表于 03-06 14:49 200次阅读
    英特尔成为全球首家购买3.8亿<b class='flag-5'>美元</b>高数值孔径<b class='flag-5'>光刻机</b>的厂商

    ASML光刻机技术的领航者,挑战与机遇并存

    ASML在半导体产业中扮演着举足轻重的角色,其光刻机技术和市场地位对于全球半导体制造厂商来说都具有重要意义。
    发表于 03-05 11:26 202次阅读

    英特尔:预计2027年末10A节点投产,投资千亿美元扩大晶圆制造

     根据先前记载,10A将会是英特尔继使用High-NA EUV光刻技术的首批主要节点之后的第二例,预计可呈现出超过10%的每瓦性能改善。
    的头像 发表于 02-28 16:00 146次阅读

    佳能预计到2024年出货纳米压印光刻机

    来源:DIGITIMES ASIA 佳能预计其纳米压印光刻机将于今年出货,与ASML的EVU设备竞争市场,因为世界各地的经济体都热衷于扩大其本土芯片产能。 佳能董事长兼首席执行官Hiroaki
    的头像 发表于 02-01 15:42 344次阅读
    佳能<b class='flag-5'>预计</b>到2024年出货纳米压印<b class='flag-5'>光刻机</b>

    ASML 2023年Q4 财报发布,光刻机订单大增

    来源:AIot工业检测,谢谢 编辑:感知芯视界 Link 随着芯片需求的不断增长,芯片制造商正加大采购晶圆厂设备的力度,以提高产能。作为EUV光刻机制造商,ASML受益于这一趋势,其2023
    的头像 发表于 01-26 09:20 438次阅读

    英特尔抢下6种ASML HIGH NA光刻机

    如果我们假设光刻机成本为 3.5 亿至 4 亿美元,并且 2024 年 10 个光刻机HIGH NA 销售额将在 35亿至40亿
    的头像 发表于 12-28 11:31 440次阅读

    今日看点丨华为强烈反对,东方材料宣布终止收购鼎桥;传ASML将推出2nm制造设备 英特尔已采购6台

    其中6台。新一代的高数值孔径 (High-NA) EUV光刻机可以将聚光能力从0.33提高至0.55,能够获得更精细的曝光图案,用于2nm制程节点。未来几年,ASML希望将这种最新设备
    发表于 12-20 11:23 731次阅读

    IBM、美光、应用材料、东京电子宣布合作建设 High-NA EUV 研发中心

    Albany NanoTech Complex 建设下一代 High-NA EUV 半导体研发中心。 根据声明,负责协调该设施建设的非营利性机构 NY Creates 将利用 10 亿美元州政府资金
    的头像 发表于 12-14 08:44 275次阅读

    生产2纳米的利器!成本高达3亿欧元,High-NA EUV***年底交付 !

    ASML是欧洲最大半导体设备商,主导全球光刻机设备市场,光刻机是半导体制造关键步骤,但高数值孔径(High
    的头像 发表于 09-08 16:54 728次阅读

    ASML CEO 承诺年底前交付首台 High-NA EUV ***;苹果与Arm签署新的芯片技术长期协议,延续至2040年以后

    热点新闻 1、ASML CEO 承诺年底前交付首台 High-NA EUV 光刻机:体积和卡车相当,每台售价 3 亿
    的头像 发表于 09-06 16:50 722次阅读
    <b class='flag-5'>ASML</b> CEO 承诺年底前交付首台 <b class='flag-5'>High-NA</b> EUV ***;苹果与Arm签署新的芯片技术长期协议,延续至2040年以后

    ASML和IMEC宣布共同开发high-NA EUV光刻试验线

    据悉,签署的谅解备忘录包括在比利时鲁汶设置imec测试线及asml的所有尖端光标及测量设备的服务。最新款0.55 na euv (twinscan exe:5200)、最新款0.33 na euv
    的头像 发表于 06-30 09:29 287次阅读