0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

抓取SEM IP的串口log的详细步骤

XILINX开发者社区 来源:XILINX开发者社区 作者:Ivy Guo 2022-05-12 12:52 次阅读

本文作者:赛灵思工程师 Ivy Guo

SEM IP在上板调试过程中有时会出现一些错误,比如无法执行IP的插错纠错功能,或者自身的初始化无法完成等等,需要对SEM IP本身进行调试定位。我们最推荐客户去查看IP从上电开始打印出来的串口信息。这里面的log不仅包含了IP从初始化开始经历的一系列状态,还能清楚地显示出一些事件的时间戳。

bb28c8da-d050-11ec-bce3-dac502259ad0.png

但是很多客户反应,实际调试的硬件板上没有连接串口,没办法查看log,只能靠抓取SEM IP Status Interface的 status_*信号,通过其可以查看 IP有无心跳,或者IP是否进入了正确的状态等。困难的是,很多问题需要同时查看所有的status_*信号组合,并且综合分析status_*的历史状态以及当前状态,复杂且需要较多的调试经验。

bb6dd678-d050-11ec-bce3-dac502259ad0.png

解决方法其实很简单,我们只要在设计里面添加一个FIFO,将SEM IP Monitor Interface输出的ASCII字符 log全部存入FIFO。需要定位的时候,用ILA+VIO控制读出来并直接显示就行了。

bb96a54e-d050-11ec-bce3-dac502259ad0.png

这里以VCU118 (VU9P) 开发板为例说明, 步骤如下:

1. 生成适配VCU118开发板的SEM IP example design。

2. 按照开发板的构造, 按需修改icap_clk的频率及管脚位置。也可以添加其他想要查看的信号。比如,可以绑定6个status_*信号到LED管脚上方便查看。

3. 顶层引入FIFO读写时钟clk300p/n (300MHz)。

bbf4e15e-d050-11ec-bce3-dac502259ad0.png

XDC里面做好相应的约束:

bc21a716-d050-11ec-bce3-dac502259ad0.png

4. 生成并添加用于存储log的FIFO,读取显示FIFO字符的ILA和用于控制的VIO。

至于FIFO的大小,SEM IP 正常情况下除了最开始会打印出初始化过程和基本信息,进入工作状态Observation后就基本没有输出了。只有发生了SEU事件或者其他异常,才会在串口推送出对应的报告。由于SEU事件是极为偶发的罕见事件,报告字符也不会多。所以这里设定500 bytes已经能满足绝大多数应用需求:

bc98dcd2-d050-11ec-bce3-dac502259ad0.png

bcd99cf4-d050-11ec-bce3-dac502259ad0.png

更多步骤,请点击阅读原文移步赛灵思中文博客如何利用FIFO+ILA/VIO抓取SEM IP的串口log

原文标题:开发者分享|如何利用FIFO+ILA/VIO抓取SEM IP的串口log

文章出处:【微信公众号:XILINX开发者社区】欢迎添加关注!文章转载请注明出处。

审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 赛灵思
    +关注

    关注

    32

    文章

    1793

    浏览量

    130452
  • fifo
    +关注

    关注

    3

    文章

    368

    浏览量

    43004
  • 串口
    +关注

    关注

    14

    文章

    1478

    浏览量

    74333

原文标题:开发者分享|如何利用FIFO+ILA/VIO抓取SEM IP的串口log

文章出处:【微信号:gh_2d1c7e2d540e,微信公众号:XILINX开发者社区】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    在VS(VC)2010中使用MSComm控件实现串口通信的详细步骤 (1)

    在VS(VC)2010中使用MSComm控件实现串口通信的详细步骤 (1)
    发表于 09-27 11:01

    总结调试过程中常见的LOG抓取办法

    开发调试中的办法非常多,LOG是其中重要的一个方法,一些常见的LOG抓取办法(主要针对QUALCOMM平台,未经详细整理):1.ADB查看或保存kernel的启动
    发表于 09-28 11:53

    如何找到带有SEM IP的spartan 6的LUT地址?

    你好,我正在使用带有SEM IP的spartan 6,我想在我的设计的特定部分注入错误。如何找到该部分的LUT地址。 .ll文件不足以找到所有位置。谢谢我尝试使用fpga_edline命令和essential_bits而没有正面结果。
    发表于 07-31 09:32

    sem ip core错误缓解问题的解决办法?

    当我尝试使用sem ip core时,我遇到了麻烦。我用vivado创建了一个sem并修改了错误注入shim以连接到vivado_lab_tools。我生成示例designandmodifiy
    发表于 07-29 09:22

    Android设备使用USB串口传输数据的教程详细说明

    详细介绍了Android 设备使用USB转串口的软件初始化步骤和收发数据的方法。
    发表于 12-31 08:00 3次下载

    嵌入式系统log模块设计

    对于开发嵌入式系统的工程师来说,log功能无疑是非常重要的功能模块。这里讲讲嵌入式系统log模块的基本设计框架。1、可以方便设置从不同的硬件接口输出 log,比如USB、不同的串口。2
    发表于 11-03 17:51 12次下载
    嵌入式系统<b class='flag-5'>log</b>模块设计

    STM32 教你用串口,配置所需详细步骤!!!

    相关寄存器:外设GPIO配置-USART串口配置一般步骤: 串口配置步骤小结:STM32通信方式: 并行通信:数据各个位同时传输 串行通信:数据按位顺序传输串行通信,
    发表于 12-24 18:44 16次下载
    STM32 教你用<b class='flag-5'>串口</b>,配置所需<b class='flag-5'>详细</b><b class='flag-5'>步骤</b>!!!

    大众机器人视觉抓取问题及抓取步骤

    最近视觉抓件系统一直会出现抓取零件不到位的问题.下面我将分析一下问题出现的原应.
    的头像 发表于 03-24 16:42 659次阅读

    几种常见的关于SEM IP的冲突

    SEM IP是一种比较特殊的IP。它的基本工作就是不停地后台扫描检测FPGA配置RAM中的数据
    的头像 发表于 07-10 16:40 423次阅读

    SEM IP多种工作模式的区别和选择指导

    UltraScale / UlraScale+系列的SEM IP一共有6种工作模式
    的头像 发表于 10-13 10:06 448次阅读
    <b class='flag-5'>SEM</b> <b class='flag-5'>IP</b>多种工作模式的区别和选择指导

    一款新拿到的芯片,没有串口驱动时如何打印log

    一款新拿到的芯片,没有串口驱动时如何打印log? 在嵌入式系统的开发中,打印log是非常重要的一个环节。它能够帮助开发人员了解系统的运行状态、调试程序、排查问题。然而,在一些系统中,特别是一些
    的头像 发表于 10-31 14:37 285次阅读

    一些封装中没有串口,或者串口已经被用作其他用途,要如何输出log

    一些封装中没有串口,或者串口已经被用作其他用途,要如何输出log? 在一些封装中,例如在裸机程序或者嵌入式系统中,因为种种原因,可能不存在串口可以用于输出
    的头像 发表于 10-31 14:37 245次阅读

    logcat命令抓取日志方法

    命令抓取日志 logcat -b main -b system -b crash -r 1024 -n 5 -f android.log -v threadtime -b:加载可供查看的缓冲区的日志
    的头像 发表于 11-23 17:31 474次阅读
    logcat命令<b class='flag-5'>抓取</b>日志方法

    linux更改ip步骤命令

    Linux更改IP地址的步骤和相关命令。 步骤一:查看当前网络配置 在更改IP地址之前,首先需要查看当前网络配置。可以使用命令 ifconfig 或
    的头像 发表于 11-27 14:38 739次阅读

    AMD MPSoC器件中启用SEM IP的策略与方法

    IP 在初始化阶段就卡住了。从 Uart 口的 log 看 ‘ICAP’ 后续无字符输出,这是典型的 IP 无法通过 ICAP 访问控制端的状态。
    的头像 发表于 03-01 09:53 185次阅读
    AMD MPSoC器件中启用<b class='flag-5'>SEM</b> <b class='flag-5'>IP</b>的策略与方法