0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx平台Aurora IP介绍(四)Example Design介绍

C29F_xilinx_inc 来源:赛灵思 作者:赛灵思 2022-02-19 19:13 次阅读

多看一些example design之后,你就会发现都是熟悉的配方。

一、Example Design
首先打开example design,看看示例工程架构:

Xilinx平台Aurora IP介绍(四)Example Design介绍

多看一些xilinx的example design之后,你就会发现都是熟悉的配方:

support是核心模块,包含了IP的例化、时钟及复位逻辑;重点理解。

frame_gen 是数据产生模块;

frame_check是数据接收检查模块;

support.v

support是核心模块,包含了IP的例化、时钟及复位逻辑;时钟和复位在前面文章已经介绍过了,就不再啰嗦;先看下support对外的端口信号

module aurora_8b10b_0_support
(
// AXI TX Interface
input [15:0] s_axi_tx_tdata,
input [1:0] s_axi_tx_tkeep,
input s_axi_tx_tvalid,
input s_axi_tx_tlast,
output s_axi_tx_tready,
// AXI RX Interface
output [15:0] m_axi_rx_tdata,
output [1:0] m_axi_rx_tkeep,
output m_axi_rx_tvalid,
output m_axi_rx_tlast,
// GT Serial I/O
input rxp,
input rxn,
output txp,
output txn,
// GT Reference Clock Interface
input gt_refclk1_p,
input gt_refclk1_n,
// Error Detection Interface
output frame_err,
output hard_err,
output soft_err,
// Status
output lane_up,
output channel_up,
// System Interface
output user_clk_out,
input gt_reset,
input reset,
input power_down,
input [2:0] loopback,
output tx_lock,
input init_clk_p,
input init_clk_n,
output init_clk_out,
output tx_resetdone_out,
output rx_resetdone_out,
output link_reset_out,
output sys_reset_out,
//DRP Ports
input drpclk_in,
input [8:0] drpaddr_in,
input drpen_in,
input [15:0] drpdi_in,
output drprdy_out,
output [15:0] drpdo_out,
input drpwe_in,
output pll_not_locked_out
);

首先是framing接口的发送及接收的端口信号,与上一篇我们介绍的一致;我们使用Aurora IP,无非就是将需要发送的数据放到s_axi_tx_tdata数据总线上,从m_axi_rx_tdata数据总线上接收数据。有了这点认知后,理解就简单多了。接着是GT串行I/O,对应物理管脚。接着就是状态信号channel_up,channel_up信号拉高,表明链路建立成功可以进行正常数据收发。然后是一些时钟和复位信号,最后是DRP动态重配置的相关信号。

继续看support对Aurora 8b/10b的例化:

aurora_8b10b_0 aurora_8b10b_0_i
(
// AXI TX Interface
.s_axi_tx_tdata (s_axi_tx_tdata),
.s_axi_tx_tkeep (s_axi_tx_tkeep),
.s_axi_tx_tvalid (s_axi_tx_tvalid),
.s_axi_tx_tlast (s_axi_tx_tlast),
.s_axi_tx_tready (s_axi_tx_tready),
// AXI RX Interface
.m_axi_rx_tdata (m_axi_rx_tdata),
.m_axi_rx_tkeep (m_axi_rx_tkeep),
.m_axi_rx_tvalid (m_axi_rx_tvalid),
.m_axi_rx_tlast (m_axi_rx_tlast),
// GT Serial I/O
.rxp (rxp),
.rxn (rxn),
.txp (txp),
.txn (txn),
// GT Reference Clock Interface
.gt_refclk1 (gt_refclk1),
// Error Detection Interface
.frame_err (frame_err),
// Error Detection Interface
.hard_err (hard_err),
.soft_err (soft_err),
// Status
.channel_up (channel_up),
.lane_up (lane_up),
// System Interface
.user_clk (user_clk_i),
.sync_clk (sync_clk_i),
.reset (system_reset_i),
.power_down (power_down),
.loopback (loopback),
.gt_reset (gt_reset_i),
.tx_lock (tx_lock_i),
.init_clk_in (init_clk_i),
.pll_not_locked (pll_not_locked_i),
.tx_resetdone_out (tx_resetdone_i),
.rx_resetdone_out (rx_resetdone_i),
.link_reset_out (link_reset_i),
.drpclk_in (drpclk_i),
.drpaddr_in (drpaddr_in),
.drpen_in (drpen_in),
.drpdi_in (drpdi_in),
.drprdy_out (drprdy_out),
.drpdo_out (drpdo_out),
.drpwe_in (drpwe_in),
//_________________COMMON PORTS _______________________________
// ------------------------- Common Block - QPLL Ports ------------------
.gt0_qplllock_in (gt0_qplllock_i),
.gt0_qpllrefclklost_in (gt0_qpllrefclklost_i),
.gt0_qpllreset_out (gt0_qpllreset_i),
.gt_qpllclk_quad1_in (gt_qpllclk_quad1_i ),
.gt_qpllrefclk_quad1_in (gt_qpllrefclk_quad1_i ),
.sys_reset_out (sys_reset_out),
.tx_out_clk (tx_out_clk_i)
);

啊这,突然觉得官方例程的注释已经很清楚了,再罗里吧嗦的介绍有点烦。

真不是笔者偷懒~ = =||

个人建议,把时钟复位理清楚,再按照framing接口时序,来设计数据的收发。基本上就能使用Aurora搬砖了。

二、仿真
直接看仿真,清晰明了。

Xilinx平台Aurora IP介绍(四)Example Design介绍

可以看到,还是熟悉的配方,仿真顶层还是例化了两个example实体,然后在TB顶层对其进行环回连接:
assign rxn_1_i = txn_2_i;

assign rxp_1_i = txp_2_i;

assign rxn_2_i = txn_1_i;

assign rxp_2_i = txp_1_i;

也就是说,Aurora-2的发送管脚接到了Aurora-1的接收管脚,那么Aurora-2发送的数据必然与Aurora-1接收到的数据是相等的;我们打开仿真,验证一下。

首先把相关信号拖到仿真,并设置group,便于归类查看:

Xilinx平台Aurora IP介绍(四)Example Design介绍

根据推论,先看Aurora-2发送的第一个数据:

Xilinx平台Aurora IP介绍(四)Example Design介绍

如图所示:

tx_data = 16’he6d5; 只有一个数据,占了一个时钟周期(user_clk时钟域),所以tlast与tvalid都只是同时拉高了一个时钟周期。同时,tkeep = 2’h3;表明这个数据所有字节都是有效的。另外注意,数据是在valid和ready握手(同时有效)时发送的。

再看Aurora-1接收的第一个数据:

Xilinx平台Aurora IP介绍(四)Example Design介绍

如图所示:

当rx_tvalid有效时,rx_data = 16’he6d5;同时,tlast信号为1,表明这一次数据传输的接收完毕。rx_tkeep = 2’h3,表明数据全部字节有效。

通过对比可知,接收数据等于发送数据。验证成功。

接下来可以继续验证Aurora-2发送的第二个数据与Aurora-1接收的第一个数据;也可以验证Aurora-1发送的数据与Aurora-2接收的数据。这里就不再啰嗦了。

下一篇,我们基于Aurora IP完成自己的数据收发。
审核编辑:汤梓红

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • AURORA
    +关注

    关注

    0

    文章

    24

    浏览量

    5347
收藏 人收藏

    评论

    相关推荐

    Xilinx SelectIO资源内部的IDELAYE2应用介绍

    本文我们介绍Xilinx SelectIO资源内部IDELAYE2资源应用。IDELAYE2原句配合IDELAYCTRL原句主要用于在信号通过引脚进入芯片内部之前,进行延时调节,一般高速端口信号由于走线延时等原因,需要通过IDELAYE2原语对数据做微调,实现时钟与数据
    的头像 发表于 04-26 11:33 164次阅读
    <b class='flag-5'>Xilinx</b> SelectIO资源内部的IDELAYE2应用<b class='flag-5'>介绍</b>

    紫光同创DDR3_IP_example仿真操作及注意事项

    DDR3_IP_example仿真操作及注意事项#紫光同创PDS软件操作指南#盘古系列开发板软件使用指南~~
    发表于 11-02 17:47

    PICO Example Clion开发

    以下是一些在 linux 环境下,使用 Clion 开发基于 C/C++ SDK 的 PICO-Example 的注意事项,使用 Clion 的下载和调试配置在上一篇文章中已经介绍
    的头像 发表于 10-09 10:16 487次阅读

    基于FPGA的Aurora 8b10b光通信测试方案

    本文开源一个FPGA高速串行通信项目:Aurora 8b10b光通信。7 Series FPGAs Transceivers Wizard IPXilinx官方7系列FPGA的高速串行收发器,本工程主要是围绕该
    的头像 发表于 10-01 09:48 3084次阅读
    基于FPGA的<b class='flag-5'>Aurora</b> 8b10b光通信测试方案

    USB IP介绍

    符合USB2.0中的全速规范• 可用资源:8个双向端点• 支持种传输类型• 对于bulk和同步传输,还支持double buffer模式;使得一个buffer用于USB硬件和PC交换数据的同时
    发表于 09-12 08:24

    XILINX FPGA IP之FIFO Generator例化仿真

    上文XILINX FPGA IP之FIFO对XILINX FIFO Generator IP的特性和内部处理流程进行了简要的说明,本文通过实际例子对该
    的头像 发表于 09-07 18:31 912次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之FIFO Generator例化仿真

    IP和UDP协议介绍

    电子发烧友网站提供《IP和UDP协议介绍.pdf》资料免费下载
    发表于 07-31 16:51 0次下载
    <b class='flag-5'>IP</b>和UDP协议<b class='flag-5'>介绍</b>

    Xilinx Vivado DDS IP使用方法

    DDS(Direct Digital Frequency Synthesizer) 直接数字频率合成器,本文主要介绍如何调用Xilinx的DDS IP核生成某一频率的Sin和Cos信号。
    的头像 发表于 07-24 11:23 2145次阅读
    <b class='flag-5'>Xilinx</b> Vivado DDS <b class='flag-5'>IP</b>使用方法

    Xilinx Zynq7035算力指标

    本文介绍广州星嵌DSP C6657+Xilinx Zynq7035平台Xilinx Zynq7035算力指标。
    的头像 发表于 07-07 14:15 876次阅读
    <b class='flag-5'>Xilinx</b> Zynq7035算力指标

    关于HLS IP无法编译解决方案

    Xilinx平台的Vivado HLS 和 Vitis HLS 使用的 export_ip 命令会无法导出 IP
    的头像 发表于 07-07 14:14 408次阅读
    关于HLS <b class='flag-5'>IP</b>无法编译解决方案

    XILINX FPGA IP之MMCM PLL DRP时钟动态重配详解

    上文XILINX FPGA IP之Clocking Wizard详解说到时钟IP的支持动态重配的,本节介绍通过DRP进行MMCM PLL的重新配置。
    发表于 06-12 18:24 6438次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之MMCM PLL DRP时钟动态重配详解

    XILINX FPGA IP之Clocking Wizard详解

    锁相环基本上是每一个fpga工程必不可少的模块,之前文档xilinx 7 系列FPGA时钟资源对xilinx fpga的底层时钟资源做过说明,但是对于fpga的应用来说,使用Clocking Wizard IP时十分方便的。
    发表于 06-12 17:42 3279次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之Clocking Wizard详解

    Zynq UltraScale+ RFSoC器件介绍

    介绍一下Xilinx公司的新一代Zynq UltraScale+ RFSoC器件,可用于LTE、5G、SDR、卫星通信等无线平台
    的头像 发表于 05-22 10:38 4331次阅读
    Zynq UltraScale+ RFSoC器件<b class='flag-5'>介绍</b>

    Video In to AXI4-Stream IP核知识介绍

    大家好!今日分享一些关于Video In to AXI4-Stream IP 核的知识。在具体学习IP核的过程中,我也将分享一些关于如何看xilinx英文文档的技巧。
    的头像 发表于 05-18 14:55 1060次阅读
    Video In to AXI4-Stream <b class='flag-5'>IP</b>核知识<b class='flag-5'>介绍</b>

    如何将包含XIlinx IP的用户模块封装成网表文件

    那么如何将包含XIlinx IP的用户模块封装成网表文件,下面将给出详细步骤
    的头像 发表于 05-18 11:12 888次阅读
    如何将包含<b class='flag-5'>XIlinx</b> <b class='flag-5'>IP</b>的用户模块封装成网表文件