0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

在Vivado中使用SRIO高速串行协议的IP演示官方例程

电子工程师 来源:FPGA探索者 作者:FPGA探索者 2021-04-15 15:19 次阅读

FPGA开发过程中不可避免的要使用到一些IP,有些IP是很复杂的,且指导手册一般是很长的英文,仅靠看手册和网络的一些搜索,对于复杂IP的应用可能一筹莫展。

这里以Xilinx为例,在Vivado中使用SRIO高速串行协议的IP演示如何使用官方例程和手册进行快速使用,在仔细阅读参考官方例程后进行一些修改就可以应用在实际项目中。

一、导入IP

点击“IP Catalog”,选择要使用的IP,双击3处配置IP。

5b12010a-9dac-11eb-8b86-12bb97331649.png

二、配置IP

点击左上角可以阅读官方的IP说明手册、IP更新信息、常见问题及解决方式。根据实际的需求配置IP的参数,如工作时钟等。

在“Shared Logic”选项中(SRIO、Aurora、JESD204等使用GT的IP核中常常有此选项),如果选择“Include Shared Logic inExample Design”(推荐方式),则在IP核外部的示例工程中生成时钟、复位等必要逻辑,且这些逻辑作为共享逻辑,加入使用多个IP核时,可以共享一些复位等信号,且这些时钟、复位可以被使用者修改;

当选中“Include Shared Logic in Core”(简单)选项时,时钟、复位逻辑等逻辑被包含在IP核中,对其他的IP不可见,这些逻辑也不能被修改(Read-Only)。

5b4b3696-9dac-11eb-8b86-12bb97331649.png

下图中左边是“IncludeShared Logic in Example Design”,右边是“Include Shared Logic in Core”,可见不同配置下IP对外呈现的时钟、复位和GT的一些引脚是不同的。

5b8fd0a8-9dac-11eb-8b86-12bb97331649.png

三、阅读手册

点击“Product Guide”可以转到Xilinx的DocNav中,查看、阅读、下载各FPGA器件手册、开发板资料、IP手册。Xilinx官方手册和配套例程是最具参考价值的资料,没有其他。虽然是英文版,但是借助翻译软件及关键词查找,还是能够进行阅读。

5bab3a5a-9dac-11eb-8b86-12bb97331649.png

5c2c6efe-9dac-11eb-8b86-12bb97331649.png

四、生成例程

选择OOC编译,等编译完成后,右键“Open IPExample Design”,打开IP对应配置下的测试工程,选择指定路径,自动打开新生成的测试工程。

5c3a9bbe-9dac-11eb-8b86-12bb97331649.png

五、阅读示例工程,仿真分析

工程中包含了时钟、复位及输入输出、AXI总线协议等必要的配置,包含TestBench仿真测试文件,阅读分析源码,仿真查看波形,通过少量更改可以下板测试,ILA监测,参考示例工程,在实际应用中即可使用。

选择“Include Shared Logic inExample Design”(推荐方式),则在IP核外部的示例工程中生成时钟、复位等必要逻辑,且这些逻辑作为共享逻辑,加入使用多个IP核时,可以共享一些复位等信号,且这些时钟、复位可以被使用者修改;

选中“Include Shared Logic in Core”(简单)选项时,时钟、复位、GT收发器配置是包含在IP核内部,对其他的IP不可见,这些逻辑也不能被修改(Read-Only),不对外呈现。

运行仿真即可查看波形,加入内部信号的波形到窗口,可以分析内部的信号,包括物理层PHY、协议层LOG等多个信号。(加入内部信号的方式可以参考matlab与FPGA数字滤波器设计(6)—— Vivado 中使用 Verilog 实现并行 FIR 滤波器/截位操作)

其余 IP 类似使用,多阅读官方的IP手册和例程。

原文标题:如何使用Xilinx官方例程和手册学习IP核的使用,以高速接口SRIO为例

文章出处:【微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1603

    文章

    21328

    浏览量

    593264
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119388

原文标题:如何使用Xilinx官方例程和手册学习IP核的使用,以高速接口SRIO为例

文章出处:【微信号:HXSLH1010101010,微信公众号:FPGA技术江湖】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    srio交换芯片属于什么种类

    SRIO交换芯片属于高速网络通信芯片的一种,具体来说,它们是基于RapidIO(快速输入输出)技术的串行通信芯片。RapidIO是一种用于高性能嵌入式系统的互连技术,它支持多种通信协议
    的头像 发表于 03-21 16:33 265次阅读

    srio交换芯片是什么?srio交换芯片的原理和作用

    SRIO(Serial RapidIO)交换芯片是一种高性能的通信芯片,专门设计用于实现基于SRIO协议的数据交换和传输。SRIO是一种点对点串行
    的头像 发表于 03-16 16:40 1877次阅读

    FPGA优质开源模块-SRIO IP核的使用

    本文介绍一个FPGA常用模块:SRIO(Serial RapidIO)。SRIO协议是一种高速串行通信
    的头像 发表于 12-12 09:19 1048次阅读
    FPGA优质开源模块-<b class='flag-5'>SRIO</b> <b class='flag-5'>IP</b>核的使用

    FPGA实现基于Vivado的BRAM IP核的使用

    文章是基于Vivado的 2017.1的版本,其他版本都大同小异。 首先在Vivado界面的右侧选择IP Catalog 选项。
    的头像 发表于 12-05 15:05 520次阅读

    基于FPGA的Aurora 8b10b光通信测试方案

    本文开源一个FPGA高速串行通信项目:Aurora 8b10b光通信。7 Series FPGAs Transceivers Wizard IP是Xilinx官方7系列FPGA的
    的头像 发表于 10-01 09:48 3085次阅读
    基于FPGA的Aurora 8b10b光通信测试方案

    为什么说Vivado是基于IP的设计?

    Vivado是Xilinx公司2012年推出的新一代集成开发环境,它强调系统级的设计思想及以IP为核心的设计理念,突出IP核在数字系统设计中的作用。
    的头像 发表于 09-17 15:37 1149次阅读
    为什么说<b class='flag-5'>Vivado</b>是基于<b class='flag-5'>IP</b>的设计?

    Vivado IP核Shared Logic选项配置

    在给Vivado中的一些IP核进行配置的时候,发现有Shared Logic这一项,这里以Tri Mode Ethernet MAC IP核为例,如图1所示。
    的头像 发表于 09-06 17:05 637次阅读
    <b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核Shared Logic选项配置

    基于FPGA的SRIO协议设计

    本文介绍一个FPGA常用模块:SRIO(Serial RapidIO)。SRIO协议是一种高速串行通信
    的头像 发表于 09-04 18:19 750次阅读
    基于FPGA的<b class='flag-5'>SRIO</b><b class='flag-5'>协议</b>设计

    Vivado中BRAM IP的配置方式和使用技巧

    FPGA开发中使用频率非常高的两个IP就是FIFO和BRAM,上一篇文章中已经详细介绍了Vivado FIFO IP,今天我们来聊一聊BRAM IP
    的头像 发表于 08-29 16:41 3010次阅读
    <b class='flag-5'>Vivado</b>中BRAM <b class='flag-5'>IP</b>的配置方式和使用技巧

    如何在Vivado中配置FIFO IP

    Vivado IP核提供了强大的FIFO生成器,可以通过图形化配置快速生成FIFO IP核。
    的头像 发表于 08-07 15:36 1952次阅读
    如何在<b class='flag-5'>Vivado</b>中配置FIFO <b class='flag-5'>IP</b>核

    关于IAR中使官方例程报__stdout和__stdin was declared问题求解

    ]: variable \"__stdin\" was declared with a never-completed type 编绎器IAR V8.30.1,使用新唐NM1200官方例程时,产生上述报错问题,请各位大神指教
    发表于 06-27 07:54

    Vivado中使SRIO高速串行协议IP演示

    在FPGA开发过程中不可避免的要使用到一些IP,有些IP是很复杂的,且指导手册一般是很长的英文,仅靠看手册和网络的一些搜索,对于复杂IP的应用可能一筹莫展。
    的头像 发表于 06-21 11:26 1294次阅读
    在<b class='flag-5'>Vivado</b><b class='flag-5'>中使</b>用<b class='flag-5'>SRIO</b><b class='flag-5'>高速</b><b class='flag-5'>串行</b><b class='flag-5'>协议</b>的<b class='flag-5'>IP</b><b class='flag-5'>演示</b>

    VCS独立仿真Vivado IP核的问题补充

    在仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-20 14:23 667次阅读
    VCS独立仿真<b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充

    VCS独立仿真Vivado IP核的问题补充

    在仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-06 14:45 1276次阅读
    VCS独立仿真<b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的问题补充

    VCS独立仿真Vivado IP核的一些方法总结

    最近,需要使用VCS仿真一个高速并串转换的Demo,其中需要用到Vivado的SelectIO IP核以及IDELAYCTRL,IDELAY2原语。而此前我只使用VCS仿真过Quartus的I
    的头像 发表于 06-06 11:09 1737次阅读
    VCS独立仿真<b class='flag-5'>Vivado</b> <b class='flag-5'>IP</b>核的一些方法总结