0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

集成逻辑分析仪(ILA)的使用方法

CHANBAEK 来源:杰克拉力船长 作者:杰克拉力船长 2023-10-01 17:08 次阅读

大家好,这里是程序员 杰克 。一名平平无奇的嵌入式软件工程师

在日常FPGA开发过程中,逻辑代码设计完成后,为了验证代码逻辑的正确性,优先使用逻辑仿真(modesim)进行验证。仿真验证通过后进行板级验证时,使用逻辑分析仪进行分析和验证逻辑是否正确。FPGA两大主流厂商的软件集成逻辑分析仪供使用,AlteraQuartus自带SignalTap、Xilinx的Vivado自带ILA逻辑调试工具。

本篇总结和分享在Xilinx编译工具Vivado环境下,使用内嵌的逻辑分析仪(ILA)的4种方法:

  • HDL代码实例化ILA IP核
  • Block Design添加ILA IP核
  • Synthesis后对Nets执行Mark Debug操作
  • 在HDL代码中使用属性关键字mark_debug

本文以"LED每0.5s间隔亮灭"工程为例,观测 led[0]信号以及led_cnt[24:0]时钟计数信号 ,演示ILA使用的4种方法以及相关的注意事项。

01 HDL代码实例化ILA IP核

在IP Catalog中搜索ILA,然后双击该IP核进行配置。

图片

本示例中led[0]、led_cnt[24:0]信号位宽分别为1、25bit,具体配置如下所示;配置完成后,点击“OK”;在弹出的界面点击“Generate”完成IP核的配置和生成。

图片

图片

图片

Verilog代码中对刚生成的ILA核进行实例化,在具体代码添加例化代码,如下所示:

ila_0 ila_0_inst0 (
  .clk     (clk    ),     // input wire clk
  .probe0  (led    ),     // input wire [0:0]  probe0  
  .probe1  (led_cnt)      // input wire [24:0]  probe1
);

综合、实现、生成bit后写到FPGA芯片便可观测到信号(此处不做演示)。

图片

特别说明:对于纯FPGA工程,杰克推荐该方式去使用ILA;

02 Block Design添加ILA IP核

在Vivado中,除了使用HDL语言去描述设计,还可以通过Block Design图形化连接的方式进行设计。7系列的软核MicroBlaze、带硬核的SOC芯片(ZYNQ、MPSOC等)的设计都是通过Block和HDL相结合来描述设计。本文仍以纯FPGA方式来说明在Block Design中使用ILA核(纯FPGA开发不建议)。ILA使用操作如下:

创建/打开Block Design,在Diagram界面,点击“+”按钮,搜索ILA,然后双击该IP核进行配置。

图片

参数配置的方式与上一小节一致,这里不作赘述。不同之处是在Block Design中使用ILA核,ILA核的实例化HDL代码会自动生成到Block Design文件中。

综合、实现、生成bit后写到FPGA芯片便可观测到信号(此处不做演示)。

图片

特别说明:

带MicroBlaze软核/ARM硬核的FPGA的复杂设计中,模块接口的信号观测ILA使用该方法;模块内部信号的观测ILA使用上一小节的方式;两者可结合使用。

03 综合后添加Mark Debug

对工程执行综合,综合完成后,找到要观测的信号的Nets,右键选择“Mark Debug”;

图片

图片

要观测信号的Nets选择并设置完成后,点击“Set Up Debug”;按照下面步骤配置Debug ILA参数;

图片

图片

图片

图片

Debug ILA配置完成后,保存SYNTHESIZED DESIGN后会在约束文件(.xdc)中生成ILA的配置约束,如下图所示:

图片

综合、实现、生成bit后写到FPGA芯片便可观测到信号(此处不做演示)。

图片

特别说明:mark_debug仅可以对Nets类型进行操作,不能对Cells操作;

04 HDL中使用MARK_DEBUG

MARK_DEBUG描述

vivado设计IDE提供了一些属性供开发者使用,本篇推文主要是描述MARK_DEBUG属性约束的使用和注意事项。详细可参考UG912文档的内容。使用MARK_DEBUG的条件如下:

约束对象必须是Nets类型

在Nets信号的顶端声明处进行约束

vivado提供了包括Verilog、VHDL、XDC三种语法。三种语法如下所示:

//Verilog语法
约束语法:
(* MARK_DEBUG="value" *) 
#value = true/flase
使用示例:
(* MARK_DEBUG="true" *) output wire led;
(* MARK_DEBUG="true" *) reg [24:0] led_cnt;


//VHDL语法
约束语法:
声明: attribute MARK_DEBUG : string;
语法: attribute MARK_DEBUG of signal_name : signal is "value";
//signal_name-内部信号, value = TRUE/FALSE
使用示例:
signal led : std_logic;
attribute MARK_DEBUG : string;
attribute MARK_DEBUG of led : signal is "TRUE";


//XDC语法
约束语法: 
set_property MARK_DEBUG value [get_nets < net_name >] 
#value = TRUE/FLASE
#net_name-是信号名
使用示例:
set_property MARK_DEBUG TURE [get_nets led];

使用MARK_DEBUG标记生成ILA观测信号步骤

在led[0]、led_cnt[24:0]信号的顶端声明处进行约束,省略代码如下:

module led_top(
    input   wire    clk_i,
    input   wire    rst_n,
    (* MARK_DEBUG="true" *) output  wire    led_o
)
;
...
(* MARK_DEBUG="true" *) reg [24:0] led_cnt;
...
endmodule

执行综合操作,综合完成后,打开综合设计,然后点击“Set Up Debug”;

图片

按照下面步骤配置Debug ILA参数;

图片

图片

图片

图片* Debug ILA配置完成后,保存SYNTHESIZED DESIGN后会在约束文件(.xdc)中生成ILA的配置约束,如下图所示:

图片

综合、实现、生成bit后写到FPGA芯片便可观测到信号(此处不做演示)。

图片

特别说明:

MARK_DEBUG约束标记会阻止Vivado对该信号以及下级信号的优化。因此,在逻辑验证完成后,要删除Mark_DEBUG约束标记或者将其失能。

05 文章总结

上面总结和分享了4种Vivado下使用ILA的方法。杰克更推荐使用前面两种实例化的方法去使用ILA IP核。对于 纯FPGA HDL开发 ,配置生成ILA核并在HDL代码中实例化使用便可;对于带软核/硬核的FPGA开发 ,在Block模块外部接口信号通过添加ILA核,Block模块内部信号则在HDL代码中实例化ILA核,两者结合使用。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FPGA
    +关注

    关注

    1602

    文章

    21320

    浏览量

    593201
  • Xilinx
    +关注

    关注

    70

    文章

    2121

    浏览量

    119373
  • 逻辑分析仪
    +关注

    关注

    3

    文章

    200

    浏览量

    22914
  • Vivado
    +关注

    关注

    18

    文章

    790

    浏览量

    65101
  • ILA
    ILA
    +关注

    关注

    0

    文章

    5

    浏览量

    3505
收藏 人收藏

    评论

    相关推荐

    逻辑分析仪的原理和应用

    。状态分析仪基础如果您从未使用过状态分析仪,您可能认为这是一种极为复杂的仪器,需要花很多时间才能掌握使用方法。事实上,许多硬件设计师发现状态分析仪中有许多极有价值的工具。一个
    发表于 11-27 08:19

    如何选择逻辑分析仪

    示波器逻辑分析仪在电子测试领域,示波器主要用于信号波形的采集和再现,主要用于对模拟信号和模拟电路的测试。随着数字技术发展,对数字信号测试越来越重要,最早的数字信号测试,往往借助于示波器,后来出现了
    发表于 04-26 14:25

    SignalTapⅡ逻辑分析仪

    SignalTapⅡ逻辑分析仪使用方法
    发表于 03-07 13:24

    频谱分析仪的工作原理和使用方法

    频谱分析仪的工作原理和使用方法
    发表于 07-16 22:04

    浅析逻辑分析仪

    逻辑分析仪是什么? 逻辑分析仪有什么作用? 逻辑分析仪有什么功能?
    发表于 11-05 11:43

    逻辑分析仪年初扫盲

    逻辑分析仪的定义 逻辑分析仪是利用时钟从测试设备上采集和显示数字信号的仪器,最主要作用在于时序判定。由于逻辑
    发表于 01-11 17:10

    逻辑分析仪是什么

    逻辑分析软件可快速完成大量波形数据的高难度分析和显示,同时提供友好简便的人机交互体验。逻辑分析仪具有极高的采样率,能够精确捕获和显示多路数字
    发表于 08-23 16:31

    USB逻辑分析仪

    就需要带有多输入通道的逻辑分析仪逻辑分析仪一般拥有32~136个通道,甚至最高能到几千个通道,每通道可输入一个数字信号。逻辑
    发表于 07-05 09:21

    逻辑分析仪基础简介

    逻辑分析仪是一种类似于示波器的波形测试设备,它可以监测硬件电路工作时的逻辑电平(高或低),并加以存储,用图形的方式直观地表达出来,便于用户检测和分析电路设计(硬件设计和软件设计)中的错
    发表于 08-07 10:27

    逻辑分析仪基础简介

    逻辑分析仪是一种类似于示波器的波形测试设备,它可以监测硬件电路工作时的逻辑电平(高或低),并加以存储,用图形的方式直观地表达出来,便于用户检测和分析电路设计(硬件设计和软件设计)中的错
    发表于 08-18 10:06

    为什么要使用逻辑分析仪

    一、简介电子产品开发过程中我们最常用的是示波器,但随着微处理器的出现,电子工程师们越来越发现传统的双通道或四通道示波器不能满足微处理器电路在设计开发工程中的需要。于是具有多通道输入的逻辑分析仪就应
    发表于 10-13 09:23

    浅析逻辑分析仪的原理

    逻辑分析仪是常用的电子仪器之一,主要应用于做数字电路测试,FPGA调试,CPU/DSP调试,数字IQ/IF分析,无线通信/雷达接收机测试等场合。逻辑
    发表于 06-28 07:51

    有什么方法可以实现逻辑分析仪的实时存储吗?

    有什么方法可以实现逻辑分析仪的实时存储吗?
    发表于 05-06 07:39

    Gowin在线逻辑分析仪的使用手册

    本手册主要描述高云半导体在线逻辑分析仪(Gowin AnalyzerOscilloscope,以下简称 GAO),介绍 GAO 的配置文件及 GAO 的配置窗口使用,旨在帮助用户快速熟悉 GAO
    发表于 09-29 07:18

    Vivado逻辑分析仪使用教程

    ,将捕获到的数据通过下载器回传给我们的用户界面,以便我们进行观察。在逻辑分析仪使用的过程中,我们一般常用的调用方法有两种:1、IP核2、mark debug标记信号接下来我们先说一下第一种方法
    发表于 04-17 16:33