0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

晶体管:后FinFET时代的技术演进

旺材芯片 来源:旺材芯片 作者:旺材芯片 2020-12-30 17:45 次阅读

FinFET晶体管架构是当今半导体行业的主力军。但是,随着器件的持续微缩,短沟道效应迫使业界引入新的晶体管架构。在本文中,IMEC的3D混合微缩项目总监Julien Ryckaert勾勒出了向2nm及以下技术节点发展的演进之路。在这条令人振奋的道路上,他介绍了Nanosheet晶体管,Forksheet器件和CFET。其中一部分内容已在2019 IEEE国际电子器件会议(IEDM)上发表。

FinFET:今天最先进的晶体管 在每一代新技术上,芯片制造商都能够将晶体管规格微缩0.7倍,从而实现15%的性能提升,50%的面积减小,40%的功耗降低以及35%的成本降低。几年前,业界为了维持这种微缩路径,从“老式”平面MOSFET过渡到FinFET晶体管架构。在FinFET中,源极和漏极之间的沟道为fin的形式。栅极环绕该3D沟道,可从沟道的3个侧面进行控制。这种多栅极结构可以抑制在栅极长度降低时带来的短沟道效应。

出色的短沟道控制能力至关重要,因为它奠定了器件微缩的基础,允许更短的沟道长度和更低的工作电压。 2012年,首款商用22nm FinFET面世。从那时起,FinFET体系结构进行了持续的改进,以提高性能并减小面积。例如,FinFET的3D特性允许增加fin片高度,从而在相同的面积上获得更高的器件驱动电流。如今,业界正在加快生产的10nm / 7nm芯片也是基于FinFET。在最先进的节点的标准单元大多是6T单元高度,也就是是每个器件最多拥有2根fin。

Nanosheet:器件进化第一步 但是,随着工艺微缩至5nm节点,FinFET架构可能不再是主流。在沟道长度小到一定值时,FinFET结构又无法提供足够的静电控制。最重要的是,向低轨标准单元的演进需要向单fin器件过渡,即使fin高度进一步增加,单fin器件也无法提供足够的驱动电流。

随着技术节点的不断变化,半导体行业并不急于转向其他晶体管架构。一些公司甚至可能决定在某些节点停留更长的时间。但是,仍然存在需要最新的“通用” CMOS解决方案的应用,例如机器学习,大数据分析和数据中心服务器。通过这种通用CMOS解决方案,可以在相同技术节点中使用相同的晶体管架构来实现芯片上所有的功能。 在这里,Nanosheet可以来帮助解围。

Nanosheet可以被视为FinFET器件的自然演变版本。想象一下将FinFET的沟道水平切割成多个单独Nanosheet沟道,栅极也会完全环绕沟道。与FinFET相比,Nanosheet的这种GAA特性提供了出色的沟道控制能力。同时,沟道在三维中的极佳分布使得单位面积的有效驱动电流得以优化。

从FinFET到Nanosheet的自然演变。

需要微缩助推器 在6T和5T的低单元高度下,向Nanosheet器件的迁移变得最佳,因为在这种情况下,fin的减少会降低传统基于FinFET的单元中的驱动电流。 但是,如果不引入结构化微缩助推器(如埋入式电源轨和环绕式接触),就无法将单元高度从6T减小到5T。

电源轨为芯片的不同组件提供电源,并且一般由BEOL中Mint和M1层提供。但是,它们在那里占据了很大的空间。在嵌入式电源轨结构中,电源轨埋在芯片的前段,以帮助释放互连的布线资源。此外,它们为采用节距微缩而增加BEOL电阻的技术提供了较低的电阻局部电流分布。BEOL没有电源轨后,可以将标准单元的高度从6T进一步降低到5T。

下一步:缩小p和n之间的间距 随着走向更小的轨道高度的旅程的继续,单元高度的进一步减小将要求标准单元内nFET和pFET器件之间的间距更小。但是,对于FinFET和Nanosheet而言,工艺限制了这些n和p器件之间的间距。例如,在FinFET架构中,通常在n和p之间需要2个dummy fin的间距,这最多消耗总可用空间的40-50%。

为了扩大这些器件的可微缩性,IMEC最近提出了一种创新的架构,称为Forksheet器件。Forksheet可以被认为是Nanosheet的自然延伸。 与Nanosheet相比,现在沟道由叉形栅极结构控制,这是通过在栅极图案化之前在p和nMOS器件之间引入“介电墙”来实现的。该墙将p栅沟槽与n栅沟槽物理隔离,从而允许更紧密的n到p间距。

从FinFET到Nanosheet再到Forksheet的自然演变。 用于制造Forksheet的工艺流程与用于制造Nanosheet的工艺流程相似,仅增加一些额外的工艺步骤。n和p之间的介电隔离还具有一些工艺优势,例如填充功函数金属的工艺更简化。在此基础上,由于大幅减少了n到p的间距,预计该Forksheet具有更佳的面积和性能的可微缩性。

Forksheet工艺流程中的关键步骤,即有源区形成后“介电墙”的形成步骤。

Forksheet:性能和面积的改进 IMEC的研究人员最近使用TCAD仿真来量化Forksheet架构的预期PPA潜力。所研究的器件针对IMEC的2nm技术节点,采用42nm的接触栅节距和16nm的金属间距的5T标准单元库。拟议的设计包括一些微缩助推器,例如埋入式电源轨和环绕接触。 与Nanosheet器件相比,已计算出10%的速度增益(恒定功率)和24%的功率减小(恒定速度)。

这种性能提升的部分原因是由于栅极-漏极重叠较小而导致的(寄生)Miller电容减小。可用空间还可以用于增加sheet宽度,从而提高驱动电流。最后,可以利用从n到p的间距减小将轨道高度从5T缩小到4.3T,从而使单元面积减小20%。在SRAM设计中,仿真结果表明,在8nm 的pn间距下,单元面积的微缩比例和性能提高了30%。

SRAM半单元的版图,用于a)FinFET,b)GAA Nanosheet和c)Forksheet。由于pn间距不受栅极扩展(GE),栅极切割(GC)或dummy fin gate tuck(DFGT)的影响,因此Forksheet可以提供高达30%的位单元高度微缩比例。 在从平面到FinFET以及垂直堆叠的Nanosheet的自然进化中,可以将Forksheet视为下一版本。以上特性证明了其作为2nm技术节点的最终逻辑“通用” CMOS器件的潜力。在进一步的研究中,需要解决将这些器件完全投入生产的工艺挑战。

CFET:通往3T逻辑标准单元的道路 小于5T时,单元高度的进一步降低主要受到可布线性问题的限制,而且这个问题应在逻辑区块级别进行评估。为了优化可布线性,我们将进入CFET时代,进一步推动了摩尔定律的发展。 CFET的概念在于将nFET“折叠”在pFET之上,这样就充分利用了器件3D微缩的潜力。 由于具有堆叠特性,CFET拥有2个局部互连层,这为内部单元布线和减小单元面积提供了更大的自由度。单元之间的可布线性也可以大大改善。

CFET架构具有2个局部互连层以及pn 堆叠CMOS基本结构。 初步评估表明,基于FinFET的4T CFET可以对标甚至超过5T“标准” FinFET器件的标准单元功率性能指标。它还可以提供面积缩小25%的标准单元和SRAM单元。基于Nanosheet的CFET可以提供额外的性能提升,并且对于缩小到3T逻辑标准单元来说是必需的。

结论 在本文中,IMEC提出了一条通往2nm及以下技术节点的最终规模化逻辑器件的演进路径。在今天的主流FinFET之后出现了Nanosheet器件,该器件提供了出色的沟道控制功能,同时又增加了有限的工艺复杂性。当配合定标助推器时,具有5T轨道高度的标准单元将触手可及。下一步,Forksheet可能会进场,由于减小了n到p的间距,因此提供了通往4.3T单元的可能性。相关工艺仿真证实了其在2nm技术节点上的潜力。CFET作为最紧凑的CMOS结构,为实现3T逻辑标准单元带来了希望。

责任编辑:xj

原文标题:技术 | 后FinFET时代的技术演进

文章出处:【微信公众号:旺材芯片】欢迎添加关注!文章转载请注明出处。

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 半导体
    +关注

    关注

    328

    文章

    24520

    浏览量

    202178
  • 晶体管
    +关注

    关注

    76

    文章

    9056

    浏览量

    135223
  • FinFET
    +关注

    关注

    10

    文章

    247

    浏览量

    89695

原文标题:技术 | 后FinFET时代的技术演进

文章出处:【微信号:wc_ysj,微信公众号:旺材芯片】欢迎添加关注!文章转载请注明出处。

收藏 人收藏

    评论

    相关推荐

    晶体管掺杂和导电离子问题原因分析

    双极性晶体管是利用两种离子导电,空穴和自由电子,但是对于一个实际存在的系统,其整体上是呈现电中性的,当其中的电子或者空穴移动形成电流时,与之对应的空穴或者电子为什么不会一起随着移动? 这个问题困扰
    发表于 02-21 21:39

    晶体管Ⅴbe扩散现象是什么?

    晶体管并联时,当需要非常大的电流时,可以将几个晶体管并联使用。因为存在VBE扩散现象,有必要在每一个晶体管的发射极上串联一个小电阻。电阻R用以保证流过每个晶体管的电流近似相同。电阻值R
    发表于 01-26 23:07

    在特殊类型晶体管的时候如何分析?

    管子多用于集成放大电路中的电流源电路。 请问对于这种多发射极或多集电极的晶体管时候该如何分析?按照我的理解,在含有多发射极或多集电极的晶体管电路时,如果多发射极或多集电极的每一极分别接到独立的电源回路中
    发表于 01-21 13:47

    单结晶体管的工作原理是什么?

    常用的半导体元件还有利用一个PN结构成的具有负阻特性的器件一单结晶体管,请问这个单结晶体管是什么?能够实现负阻特性?
    发表于 01-21 13:25

    晶体管和场效应的本质问题理解

    晶体管也就是俗称三极,其本质是一个电流放大器,通过基射极电流控制集射极电流。 1、当基射极电流很小可以忽略不计时,此时晶体管基本没有对基射极电流的放大作用,此时可以认为晶体管处在关断
    发表于 01-18 16:34

    下一代晶体管有何不同

    在经历了近十年和五个主要节点以及一系列半节点之后,半导体制造业将开始从 FinFET过渡到3nm技术节点上的全栅堆叠纳米片晶体管架构。 相对于FinFET,纳米片
    的头像 发表于 12-26 15:15 214次阅读
    下一代<b class='flag-5'>晶体管</b>有何不同

    晶体管是怎么做得越来越小的?

    FinFET结构,我们比较容易理解晶体管尺寸缩小的原理。如下图所示:那么从20nm开始到3nm,晶体管的结构都是FinFET的。结构没有变化的条件下,
    的头像 发表于 12-19 16:29 303次阅读
    <b class='flag-5'>晶体管</b>是怎么做得越来越小的?

    探讨晶体管尺寸缩小的原理

    从平面晶体管结构(Planar)到立体的FinFET结构,我们比较容易理解晶体管尺寸缩小的原理。
    发表于 12-02 14:04 349次阅读
    探讨<b class='flag-5'>晶体管</b>尺寸缩小的原理

    如何选择分立晶体管

    来至网友的提问:如何选择分立晶体管
    发表于 11-24 08:16

    晶体管 - 改变世界的发明

    晶体管
    油泼辣子
    发布于 :2023年11月18日 12:13:27

    晶体管详细介绍

    专业图书47-《新概念模拟电路》t-I晶体管
    发表于 09-28 08:04

    不同类型的晶体管及其功能

    晶体管是一种有源元件,遍布电子电路。它们用作放大器和开关设备。作为放大器,它们用于高电平和低电平、频率级、振荡器、调制器、检测器以及任何需要执行功能的电路中。在数字电路中,它们用作开关。世界上有大量
    发表于 08-02 12:26

    什么是FinFET?鳍式场效应晶体管有哪些优缺点?

    推动半导体行业发展并使今天的芯片成为可能的关键技术趋势之一是采用FinFET工艺。工程师介绍,另一种有前途的技术是环栅(GAA)晶体管。这提供了栅极和沟道之间最显着的电容耦合。GAAf
    的头像 发表于 07-07 09:58 4951次阅读
    什么是<b class='flag-5'>FinFET</b>?鳍式场效应<b class='flag-5'>晶体管</b>有哪些优缺点?

    晶体管做电子开关

    晶体管
    YS YYDS
    发布于 :2023年07月04日 20:45:13

    晶体管电容电路设计!#晶体管 #电容 #电路 #电子#硬声创作季

    晶体管
    也许吧
    发布于 :2023年05月18日 09:30:18