0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Xilinx FIR IP的介绍及仿真

电子设计 来源:电子设计 作者:电子设计 2020-10-30 12:29 次阅读

Xilinx FIR IP的介绍与仿真

1 xilinx fir ip 简介

1)符合 AXI4-Stream 的接口

2)高性能有限脉冲响应(FIR),多相抽取器,多相内插器,半带,半带抽取器和半带内插器,希尔伯特变换和内插滤波器实现

3)最多支持 256 组系数,处理一组以上时,每组 2 至 2048 个系数。

4)输入数据高达 49 位精度

5)滤波器系数高达 49 位精度

6)支持多达 1024 个交错数据通道

7)支持高级交错数据通道序列

8)通过共享控制逻辑支持多个并行数据通道

9)插值和抽取因子通常最多为 64,单通道滤波器的最大为 1024

10)支持大于时钟频率的采样频率

11)在线系数重装能力

12)用户可选的输出舍入

13)高效的多列结构,适用于所有过滤器的实现和优化

Fir 公式

常规抽头延迟线 FIR 滤波器表示

2设计验证思路

混频模块内部包含两个 dds 模块,一个产生 2khz sine 波,一个产生 3khz sine 波,然后相乘得到 1khz+6khz 的混频,然后使用 xilinx FIR IP 设计一个低通滤波器滤掉 6khz,最后只剩 1khz。

3 matlab fdatool 设计低通滤波器

1)打开 fdatool

2)低通滤波器设计

Fs=44100;

Fpass =3000;

Fstop =5000;

Apass = 1;

Astop =120;

3系数量化

4)matlab 导出.coe

4 xilinx FIR IP的设置与仿真

左侧包含 IP Symbol、Freq.Response、Implementation Details 和 CoefficientReload。右侧包括 Filter Options、Channel Specification、Implementation、Detailed Implementation、Interface 和 Summary.

1)Filter Options

(1)系数源(Coefficient Source):直接在 GUI 中使用系数矢量参数或使用由 CoefficientFile 参数指定的 .coe 文件,指定要使用的系数输入。

(2)系数向量(Coefficient Vector ):用于直接在 GUI 中指定滤波器系数。过滤器系数使用逗号分隔列表以十进制形式指定,与过滤器系数数据文件中的 coefdata 字段相同。与 .coe 文件一样,可以使用 FIR 编译器根据您的要求适当量化的非整数实数来指定滤波器系数。

(3)滤波器类型(Filter Typ ):支持五种滤波器类型:单速率 FIR,插值 FIR,抽取 FIR,希尔伯特变换和插值 FIR。

2)Channel Specification

(1)选择格式(Select format ):选择用于指定硬件过采样率,内核可用于处理输入采样并生成输出的时钟周期数的格式。该值直接影响核心实现和所使用资源的并行度。选择“频率规格”后,可以指定“输入采样频率”和“时钟频率”。这些值之间的比率以及其他核心参数决定了硬件过采样率。

选择“输入采样周期”时,可以指定输入采样之间的时钟周期数。同样,选 择“输出采样周期”时,可以指定输出采样之间的时钟周期数。

(2)采样周期(Sample Period ):输入或输出采样之间的时钟周期数。当指定了多个通道时,该值应该是时分多路复用输入样本数据流之间时钟周期的整数。可以使用分数采样周期来指定大于时钟频率的采样频率 .

(3)输入采样频率(Input Sampling Frequency ):该字段可以是整数或实数值;它指定一个通道的采样频率。根据时钟频率和滤波器参数(例如插值率和通道数)设置上限。

(4)时钟频率(Clock Frequency ):此字段可以是整数或实数值。限制是根据采样频率,内插率和通道数设置的。该领域仅影响体系结构选择。最终的实现可能无法达到指定的时钟速率。

3) Implementation

(1)系数类型(Coefficient Type ):系数数据可以指定为有符号或无符号。

(2)输入数据小数位(Input Data Fractional Bits ):用于表示过滤器输入数据样本的小数部分的输入数据宽度位数。该字段仅供参考。它与系数小数位一起使用,以计算滤波器的输出小数位值。此参数在 IP 集成器中自动设置,但也可以被覆盖。

(3)输出舍入模式(Output Rounding Mode ):指定要应用于过滤器输出的舍入类型。

(4)输出小数位(Output Fractional Bits ):此字段报告用于表示滤波器输出样本小数部分的输出宽度位数

4) Interface

5) Freq.Response

将混频信号和经过 xilinx FIR IP滤波后信号写出 matlab 观察时域和频域 .

1混频信号

滤波前的 1khz+6khz 的混频信号。

2滤波后

如上所示,经过滤波后 6khz 完全被滤除,只剩下 1khz 信号。仿真验证成功。


审核编辑 黄昊宇

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • FIR
    FIR
    +关注

    关注

    4

    文章

    137

    浏览量

    32726
  • 仿真
    +关注

    关注

    50

    文章

    3872

    浏览量

    132165
收藏 人收藏

    评论

    相关推荐

    Vivado 使用Simulink设计FIR滤波器

    领域都有着广泛的应用。 Vivado自带的FIR滤波器IP核已经很好用,这里借FIR滤波器的设计,介绍Simulink图形设计编程方法。Simulink可以使设计更直观,使硬件资源得到
    发表于 04-17 17:29

    怎样单独使用modelsim仿真xilinx呢?

    直接在modelsim软件内执行.do文件进行仿真,不通过vivado调用modelsim,vivado仅用于生成IP核。
    的头像 发表于 12-04 18:26 591次阅读
    怎样单独使用modelsim<b class='flag-5'>仿真</b><b class='flag-5'>xilinx</b>呢?

    Xilinx FPGA IP之Block Memory Generator仿真

    上文对BMG ip的基本情况进行了简单的描述,本文通过例化仿真来实际使用功能一下这个IP
    的头像 发表于 11-14 18:24 733次阅读
    <b class='flag-5'>Xilinx</b> FPGA <b class='flag-5'>IP</b>之Block Memory Generator<b class='flag-5'>仿真</b>

    Xilinx快速仿真器:用户指南

    电子发烧友网站提供《Xilinx快速仿真器:用户指南.pdf》资料免费下载
    发表于 09-15 14:54 0次下载
    <b class='flag-5'>Xilinx</b>快速<b class='flag-5'>仿真</b>器:用户指南

    XILINX FPGA IP之FIFO Generator例化仿真

    上文XILINX FPGA IP之FIFO对XILINX FIFO Generator IP的特性和内部处理流程进行了简要的说明,本文通过实际例子对该
    的头像 发表于 09-07 18:31 912次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之FIFO Generator例化<b class='flag-5'>仿真</b>

    XILINX FPGA IP之DDS Compiler_ip例化仿真

    之前的文章对dds ip 的结构、精度、参数、接口进行了详细的说明,本文通过例化仿真对该IP的实际使用进行演示。本文例化固定模式和可配置模式两种模式分别例化ip
    的头像 发表于 09-07 18:31 943次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之DDS Compiler_<b class='flag-5'>ip</b>例化<b class='flag-5'>仿真</b>

    FIR IP用户手册

    FIR IP 用户手册 FIR(Finite Impulse Response),有限冲击响应滤波器,是数字信号处理里面常用的滤波器类型。
    发表于 08-09 06:56

    Xilinx Vivado DDS IP使用方法

    DDS(Direct Digital Frequency Synthesizer) 直接数字频率合成器,本文主要介绍如何调用Xilinx的DDS IP核生成某一频率的Sin和Cos信号。
    的头像 发表于 07-24 11:23 2143次阅读
    <b class='flag-5'>Xilinx</b> Vivado DDS <b class='flag-5'>IP</b>使用方法

    VCS独立仿真Vivado IP核的问题补充

    仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-20 14:23 667次阅读
    VCS独立<b class='flag-5'>仿真</b>Vivado <b class='flag-5'>IP</b>核的问题补充

    XILINX FPGA IP之MMCM PLL DRP时钟动态重配详解

    上文XILINX FPGA IP之Clocking Wizard详解说到时钟IP的支持动态重配的,本节介绍通过DRP进行MMCM PLL的重新配置。
    发表于 06-12 18:24 6431次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之MMCM PLL DRP时钟动态重配详解

    XILINX FPGA IP之Clocking Wizard详解

    锁相环基本上是每一个fpga工程必不可少的模块,之前文档xilinx 7 系列FPGA时钟资源对xilinx fpga的底层时钟资源做过说明,但是对于fpga的应用来说,使用Clocking Wizard IP时十分方便的。
    发表于 06-12 17:42 3270次阅读
    <b class='flag-5'>XILINX</b> FPGA <b class='flag-5'>IP</b>之Clocking Wizard详解

    VCS独立仿真Vivado IP核的问题补充

    仿真Vivado IP核时分两种情况,分为未使用SECURE IP核和使用了SECURE IP核。
    的头像 发表于 06-06 14:45 1276次阅读
    VCS独立<b class='flag-5'>仿真</b>Vivado <b class='flag-5'>IP</b>核的问题补充

    FIR滤波器代码及仿真设计

    上文 FPGA数字信号处理之滤波器2_使用dsp48e1的fir滤波器设计完成了结构设计。
    的头像 发表于 06-02 12:36 805次阅读
    <b class='flag-5'>FIR</b>滤波器代码及<b class='flag-5'>仿真</b>设计

    串行FIR滤波器MATLAB与FPGA实现

    本文介绍了设计滤波器的FPGA实现步骤,并结合杜勇老师的书籍中的串行FIR滤波器部分进行一步步实现硬件设计,对书中的架构做了简单的优化,并进行了仿真验证。
    的头像 发表于 05-24 10:56 616次阅读
    串行<b class='flag-5'>FIR</b>滤波器MATLAB与FPGA实现

    如何将包含XIlinx IP的用户模块封装成网表文件

    那么如何将包含XIlinx IP的用户模块封装成网表文件,下面将给出详细步骤
    的头像 发表于 05-18 11:12 888次阅读
    如何将包含<b class='flag-5'>XIlinx</b> <b class='flag-5'>IP</b>的用户模块封装成网表文件