0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

图像处理:HDMI显示代码的UVM仿真

电子设计 来源:AI加速 作者:AI加速 2020-11-10 15:35 次阅读

本篇完成对HDMI显示代码的UVM仿真,梳理一下在windows-modelsim工具下UVM仿真环境的建立,调试以及遇到的问题。

仿真架构

仿真的架构在上一篇已经做了简要介绍,这部分做重点讲解。整体结构包括五个功能模块:image图像的随机化和驱动,软件端配置,图像监测,以及设计部分。如图1.1所示。

图1.1 UVM仿真验证架构

图1.1 UVM仿真验证架构

img_seq

这部分主要是生成image数据,并发送给img_drv,由img_drv驱动给到DUT。Image的随机化定义在img_trans中,这个类继承了uvm_sequence_item,定义了img数组,这是一个随机化的8bit数据组成的数组。在img_seq中通过randomize函数就可以产生随机化数据。

class img_trans extends uvm_sequence_item;

rand logic[7:0] img[3*`MAX_RESLUTN_H*`MAX_RESLUTN_V];

Endclass

Img_seq中对整个仿真的启动和结束做了控制,在这部分实现的原因是保证一帧图像在仿真过程中的完整性。通过设置run_time来控制可以发送多少帧图像,这个变量从命令行传进去。Starting_phase可以使得在uvm_sequence中来启动和终止仿真过程,只要指定了相应的sequence是某个sequencer的default_sequence,以及在sequencer中设置seq的starting_phase为sequencer的phase,这样就可以用sequence来启动仿真了。

if(starting_phase != null)
starting_phase.raise_objection(this);
else
`uvm_error(get_type_name(), "cannot start phase");

for(int i=0;i `uvm_create(trans)
if(trans.randomize() != 1)begin
`uvm_info(get_type_name(), "Failed to randomize image transaction", UVM_NONE)
end
trans.print();
`uvm_send(trans)
`uvm_info(get_type_name(), "one image have been recived", UVM_NONE)
wait(sw_inf_i.intr == 1);

end

#50;
starting_phase.drop_objection(this);

img_sqr.sv中:

virtual task main_phase(uvm_phase phase);
img_seq seq;
seq = img_seq::type_id::create("img_seq");
seq.starting_phase = phase;
seq.start(this);
endtask

img_drv

这个模块是通过img_sqr从img_seq中获得img的数据,然后通过axi接口发送给DUT。这部分主要是如何对接DUT的AXI接口,DUT通过AXI接口读取image数据,因此接口功能只实现了读操作。用一个task来实现axi_read,主要包括三个并行进程:一个是获取axi读指令,另一个是根据获得的axi读指令来发送数据,最后增加一个计数器用于处理axi等待时间过长的问题,如果超过一定时间,就报错。指令获取和发送数据的交互通过mailbox来实现,只要收到的axi指令不大于AXI接口可接收的最大transactions,就可以继续接收,否则就不接受。然后发送数据进程从mailbox中获得指令,根据指令发送对应的数据。

task img_drv::axi_read();

int abs_araddr;
int arlen_cnt;
ar_trans ar_req;
ar_trans ar_rep;
int ar_timer;
int i_size = img_size;

fork: AXI_READ_CTRL

forever begin: AR
if(ar_mbx.num() axi.s_axi_arready = 1'b1;
else
axi.s_axi_arready = 1'b0;

if(axi.s_axi_arready & axi.s_axi_arvalid)begin
ar_req = new("ar_trans");
ar_req.araddr = axi.s_axi_araddr;
ar_req.arlen = axi.s_axi_arlen;
ar_req.arid = axi.s_axi_arid;

ar_mbx.put(ar_req);
end

@(posedge axi.clk);
end

forever begin: RDATA
ar_timer = $urandom_range(`AXI_WAIT_TIMER, 0);
while(ar_timer--)
@(posedge axi.clk);

if(ar_mbx.num() == 0)begin
axi.s_axi_rvalid = 1'b0;
@(posedge axi.clk);
wait(ar_mbx.num() > 0);
end
else begin
while(!axi.s_axi_rready)
@(posedge axi.clk);

axi.s_axi_rvalid = 1'b1;

ar_mbx.get(ar_rep);
abs_araddr = ar_rep.araddr - img_start_addr;
arlen_cnt = ar_rep.arlen + 1;

if(ar_rep.araddr[10:0]+arlen_cnt*(`AXI_DATA_WD/8)>4096)begin
`uvm_error(this.get_type_name(), $sformatf("cross 4KB at address: ar_rep.araddr"));
end

while(arlen_cnt)begin
if(axi.s_axi_rready)begin
for(int i=0;i axi.s_axi_rdata[i*8 +: 8] = img_req.img[abs_araddr++];
end

arlen_cnt--;
end

if(arlen_cnt == 0)begin
axi.s_axi_rlast = 1'b1;
end

@(posedge axi.clk);

end
axi.s_axi_rlast = 1'b0;
axi.s_axi_rvalid = 1'b0;
@(posedge axi.clk);
end
end//RDATA

while(i_size)begin
if(axi.s_axi_rvalid & axi.s_axi_rready)begin
i_size--;
end
@(posedge axi.clk);
end

join_any

disable AXI_READ_CTRL;

endtask

sw_config

这部分主要是涉及到软件端对寄存器的配置,包括图像的行列大小,blank的行和列大小等。这些变量在sw_trans中随机化,为了减少仿真时间,作者减小了图像的大小约束。这应该不会影响仿真验证结果。Sw_seq就是产生随机化数据,然后发送给sw_drv。Sw_driver主要是实现axi4lite接口,发送数据给DUT。Axi4lite控制比较简单,如下:

task sw_driver::axi4lite_write(logic [`AXI4LITE_DATA_WD-1:0] data, logic [`AXI4LITE_ADDR_WD-1:0] waddr);
int timer = 1000;

repeat($urandom_range(15, 5)) @(posedge vif.axi_if.clk);
fork: AXI4LITE_SEND
begin: WRITE_DATA
vif.axi_if.axi4lite_awprot = 0;
vif.axi_if.axi4lite_awaddr = waddr;
vif.axi_if.axi4lite_awvalid = 1'b1;
if(!vif.axi_if.axi4lite_awready)begin
while(!vif.axi_if.axi4lite_awready)
@(posedge vif.axi_if.clk);
end
else begin
@(posedge vif.axi_if.clk);
end
vif.axi_if.axi4lite_awvalid = 1'b0;
repeat($urandom_range(5, 0)) @(posedge vif.axi_if.clk);

vif.axi_if.axi4lite_wdata = data;
vif.axi_if.axi4lite_wstrb = {(`AXI4LITE_DATA_WD/8){1'b1}};
vif.axi_if.axi4lite_wvalid = 1'b1;
if(!vif.axi_if.axi4lite_wready)begin
while(!vif.axi_if.axi4lite_wready)
@(posedge vif.axi_if.clk);
end
else begin
@(posedge vif.axi_if.clk);
end
vif.axi_if.axi4lite_wvalid = 1'b0;
wait(vif.axi_if.axi4lite_bvalid);
repeat($urandom_range(5, 0)) @(posedge vif.axi_if.clk);
end

begin: TIMER_CNT
while(timer--)
@(posedge vif.axi_if.clk);
`uvm_error(get_type_name(), $sformatf("wait for axi ready for long: axi4lite_awaddr = %0h, axi4lite_awready = %0d",
vif.axi_if.axi4lite_awaddr, vif.axi_if.axi4lite_awready));
end
join_any

disable AXI4LITE_SEND;
`uvm_info(get_type_name(), $sformatf("have sent sw data at address: %0x", vif.axi_if.axi4lite_awaddr), UVM_MEDIUM);
Endtask

为了将sw_trans的数据发送给其他模块,在sw_driver中通过定义:

uvm_analysis_port #(sw_trans) sw_trans_port;

在需要接收这个数据的类中声明一个port:

uvm_analysis_imp_sw #(sw_trans, img_monitor) sw_imp;

并声明后缀:

`uvm_analysis_imp_decl(_sw)

然后定义一个write函数:

function void img_monitor::write_sw(sw_trans sw_req);

img_h = sw_req.resl_h;
img_v = sw_req.resl_v;

endfunction

这样就接收到了来自sw_trans的数据。

img_monitor

这部分主要是比对数据,包括两方面,一个是比对接收到的image的图像数据R,G,B。另外一个是比对经过物理编码后的10bit的R,G,B数据。

task img_monitor::comp_rgb();
localparam AXI_DATA_BW = `AXI_DATA_WD/8;

logic [8*3-1:0] ref_rgb;
int err_cnt = 0;

int pixs;
int v=0;
int h=0;

while(v != img_v)begin
if(img_inf_i.rgb_valid && img_inf_i.rgb_ready && img_inf_i.h_sync && img_inf_i.v_sync)begin
pixs = 3 * (img_h * v + h);

ref_rgb = {img_data.img[pixs+2], img_data.img[pixs+1], img_data.img[pixs]};

if(ref_rgb != img_inf_i.rgb)begin
`uvm_error(this.get_type_name(), $sformatf("ref_rgb(%h, %h, %h) is diffrent with rgb(%h, %h, %h) at (%d, %d)", ref_rgb[8*2 +: 8], ref_rgb[8*1 +: 8], ref_rgb[7:0], img_inf_i.rgb[8*2 +: 8], img_inf_i.rgb[8*1 +: 8], img_inf_i.rgb[7:0], v, h));

err_cnt++;
end
@(posedge img_inf_i.clk);
v = (h >= img_h - 1) ? v+1 : v;
h = (h >= img_h - 1) ? h-img_h+1 : h+1;

end
else begin
@(posedge img_inf_i.clk);
end
end

if(err_cnt == 0)
`uvm_info(get_type_name(), "rgb comparison passed !", UVM_LOW)

Endtask

对物理编码数据的比较,是UVM中生成对应的数据,放到队列中,然后和DUT中的相应数据进行对比。

function void img_monitor::write_img(img_trans img_req);

localparam AXI_DATA_BW = `AXI_DATA_WD/8;
int pixs = 0;
tmds tmds_obj;

img_data.copy(img_req);

for(int i=0;i for(int j=0;j tmds_obj = new("tmds");
pixs = 3 * (i * img_h + j);
tmds_obj.b = img_data.img[pixs];
tmds_obj.g = img_data.img[pixs+1];
tmds_obj.r = img_data.img[pixs+2];

tmds_obj.b = tmds_encode(tmds_obj.b, this.b_cnt);
tmds_obj.g = tmds_encode(tmds_obj.g, this.g_cnt);
tmds_obj.r = tmds_encode(tmds_obj.r, this.r_cnt);

tmds_obj.h = j;
tmds_obj.v = i;

tmds_i.push_back(tmds_obj);
end

this.b_cnt = 0;
this.g_cnt = 0;
this.r_cnt = 0;

end

endfunction

task img_monitor::comp_tmds();

localparam PREAMBLE_VIDEO = {10'b1101010100, 10'b0010101011, 10'b0101010100};//'h3542AD54
int v = 0;
int h = 0;
tmds ref_tmds_obj = new("tmds");

while(v != img_v)begin

wait(img_inf_i.tmds_valid && img_inf_i.tmds_data == PREAMBLE_VIDEO);
@(posedge img_inf_i.clk);
for(int i=0;i if(img_inf_i.tmds_data != PREAMBLE_VIDEO)
`uvm_error(get_type_name(), "video preamble is wrong!")
@(posedge img_inf_i.clk);
end
repeat(2) @(posedge img_inf_i.clk);

for(h=0;h ref_tmds_obj = tmds_i.pop_front();
if(ref_tmds_obj.b != img_inf_i.tmds_data[9:0] || ref_tmds_obj.g != img_inf_i.tmds_data[19:10] || ref_tmds_obj.r != img_inf_i.tmds_data[29:20])
`uvm_error(this.get_type_name(), $sformatf("ref_tmds ( %h, %h, %h) != tmds (%h, %h, %h) at (%d, %d)", ref_tmds_obj.r, ref_tmds_obj.g, ref_tmds_obj.b, img_inf_i.tmds_data[29:20], img_inf_i.tmds_data[19:10], img_inf_i.tmds_data[9:0], v, h));

@(posedge img_inf_i.clk);
v = (h >= img_h - 1) ? v+1 : v;
end
end

`uvm_info(get_type_name(), "tmds data is compared for one frame!", UVM_LOW)
Endtask

结果

通过打印信息来判断是否通过测试。

图2.1 仿真结果

图2.1 仿真结果

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • HDMI
    +关注

    关注

    32

    文章

    1483

    浏览量

    149647
  • 图像处理
    +关注

    关注

    26

    文章

    1220

    浏览量

    55777
  • UVM
    UVM
    +关注

    关注

    0

    文章

    181

    浏览量

    18954
收藏 人收藏

    评论

    相关推荐

    uvm1.1升级为uvm1.2 uvm_report_server报错是何原因?

    ISP算法仿真中,小编会用reference model调用DPI接口用C++ 算法实现pixel算法处理,然后和DUT算法处理输出的pixel值进行比较,比较时候发现报错,报错代码
    的头像 发表于 03-04 14:18 213次阅读
    <b class='flag-5'>uvm</b>1.1升级为<b class='flag-5'>uvm</b>1.2 <b class='flag-5'>uvm</b>_report_server报错是何原因?

    HDMI转DVI黑屏的处理妙招

    HDMI转DVI黑屏的处理妙招  当我们将HDMI接口的设备连接到DVI接口的显示器时,有时会遇到显示器黑屏的问题。这种情况可能由多种原因引
    的头像 发表于 11-28 15:50 3220次阅读

    我的第一个UVM代码——Hello world

    `uvm_component_utils有关 仿真命令: irun -sv -uvmhome \\\\ /.../ies/tools/methodology/UVM/CDNS-1.2/sv \\\\ hello_world.sv
    发表于 11-03 10:18

    vcs和xrun搭配uvm1.1/uvm1.2版本库的使用情况

    我们在项目中有时候需要使用不同的UVM版本库进行仿真,有时候还会在不同的仿真器之间进行切换,本文简单总结了一下vcs和xrun搭配uvm1.1/uv
    的头像 发表于 10-27 09:41 1542次阅读

    基于FPGA搭建一个通用的图像处理平台

    本文介绍如何搭建一个通用的图像处理平台,采用HDMI接口进行输入、输出,可用于测试基于HLS的FPGA图像处理项目。
    的头像 发表于 09-04 18:20 1156次阅读
    基于FPGA搭建一个通用的<b class='flag-5'>图像</b><b class='flag-5'>处理</b>平台

    一文详解UVM设计模式

    本篇是对UVM设计模式 ( 二 ) 参数化类、静态变量/方法/类、单例模式、UVM_ROOT、工厂模式、UVM_FACTORY[1]中单例模式的补充,分析静态类的使用,UVM中资源池的
    的头像 发表于 08-06 10:38 872次阅读
    一文详解<b class='flag-5'>UVM</b>设计模式

    有没有办法像debug RTL代码一样将UVM中变量拉到波形上看呢?

    我们常用的debug UVM的方法是通过打印log实现。有没有办法像 debug RTL代码一样将 UVM 中变量拉到波形上看呢?答案是有的,下面让我们看看是怎么做到的。
    的头像 发表于 06-29 15:14 693次阅读
    有没有办法像debug RTL<b class='flag-5'>代码</b>一样将<b class='flag-5'>UVM</b>中变量拉到波形上看呢?

    如何用Verdi查看UVM环境中的变量?

    我们常用的debug UVM的方法是通过打印log实现。有没有办法像 debug RTL代码一样将 UVM 中变量拉到波形上看呢?答案是有的,下面让我们看看是怎么做到的。
    的头像 发表于 06-25 16:01 748次阅读
    如何用Verdi查看<b class='flag-5'>UVM</b>环境中的变量?

    UVMuvm_config_db机制背后的大功臣

    本次讲一下UVM中的uvm_config_db,在UVM中提供了一个内部数据库,可以在其中存储给定名称下的值,之后可以由其它TB组件去检索。
    的头像 发表于 06-20 17:28 784次阅读

    我的第二个UVM代码—连接interface

    写testbench最最核心的部分就是发激励,今天用一个简单的示例来介绍如何在uvm里给RTL发激励。
    的头像 发表于 06-15 10:51 967次阅读
    我的第二个<b class='flag-5'>UVM</b><b class='flag-5'>代码</b>—连接interface

    我的第一个UVM代码—Hello world

    你刚开始是怎么学UVM的?白皮书还是红皮书?而我是从hello world实验开始的。
    的头像 发表于 06-15 10:49 534次阅读
    我的第一个<b class='flag-5'>UVM</b><b class='flag-5'>代码</b>—Hello world

    UVM为什么要引入寄存器模型呢?

    新需求:为带寄存器的DUT搭建UVM仿真环境
    的头像 发表于 05-26 14:57 1016次阅读
    <b class='flag-5'>UVM</b>为什么要引入寄存器模型呢?

    UVM学习笔记(一)

    driver应该派生自uvm_driver,而uvm_driver派生自uvm_component。
    的头像 发表于 05-26 14:38 862次阅读
    <b class='flag-5'>UVM</b>学习笔记(一)

    Easier UVM Code Generator Part 1: 运行仿真

    在运行uvm代码生成器后,我们现在可以开始运行仿真。同样,我们将命令行放入脚本文件中
    的头像 发表于 05-19 09:18 477次阅读

    个性化地定制自己的uvm代码生成器模板和脚本

    使用uvm代码生成器创建基本的uvm验证环境框架,然后丢弃代码生成器模板并扩展和维护生成出来的代码。尽管
    的头像 发表于 05-14 16:51 1249次阅读
    个性化地定制自己的<b class='flag-5'>uvm</b><b class='flag-5'>代码</b>生成器模板和脚本