0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

FSK信号的调制原理 FSK调制信号的FPGA实现

454398 来源:MYMINIEYE微信公众号 作者:MYMINIEYE微信公众号 2020-09-28 15:01 次阅读

1、FSK信号的调制原理

数字频率调制是利用载波的频率传输信息的一种调制方式,FSK是在ASK之后出现的一种调制方式,抗衰落能力较强,在一些衰落信道中应用广泛。

数字频移键控(FSK)是用载波的频率来传递数字信息,符号1对应某个载频,符号0对应某个载频,频率之间的改变瞬间完成的,根据码元转换时刻的载波相位特征,可以分为连续相位FSK和非连续相位FSK,最简单的是二进制频移键控(2FSK),如下是连续相位的2FSK信号的波形。


波形分别用来传输数字1和0,信号可以看成是载频为f1和f2的两个振幅键控信号的合成,2FSK信号可表示为:


式中,A是载波的振幅,Tb为数字码元的周期,{bn}为传送的数字序列为bn的反码.

2、FSK调制信号的MATLAB仿真

在matlab中仿真具有连续相位的2FSK调制信号:

调制指数为3.5。即两个频点以载波为中心,间隔为3.5*Rb=3.5MHz。

基带信号符号速率Rb =1MHz。

载波信号频率fc =6MHz。

采样频率fs =32*Rb。

Matlab提供了专门的FSK信号调制函数fskmod(),只需要设置几个参数就可以产生所需调度以及相位特征的FSK信号。


如下可以看到产生的FSK信号,并且两个频率确实相差3.5MHz,其中时域的波形只截取了一部分显示。


3、FSK调制信号的FPGA实现

在matlab中可以使用。现成的FSK函数,快速产生FSK调制信号,在FPGA中却不会有这样方便的函数使用,如下是产生2FSK信号的原理框图

2FSK信号原理框图

两种方法都可以实现,但是第二种所需要更少的逻辑资源,更具有性能优势,这里采用一个DDS核实现连续相位的FSK,需要使得DDS输出信号的频率可以配置,因此需要将DDS的“Phase Increment Programmability”选中“Streaming”,输出位宽选择16bit。根据输入数据data_in的高低电平状态,分别设置DDS核的频率调制输入信号的相位增量值,得到需要的信号。

根据DDS工作原理


式中fout为输出频率,fclk为系统时钟频率,为相位增量位宽,例如:


计算得到相位增量,编写完成整个系统的Verilog HDL代码后,经测试,仿真如下:


从图中可以看到输出信号在符号跳变时刻仍然保持了信号相位的连续性。

编辑:hfy
声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • matlab
    +关注

    关注

    175

    文章

    2917

    浏览量

    228409
  • 数字频率
    +关注

    关注

    0

    文章

    15

    浏览量

    12857
  • 调制信号
    +关注

    关注

    0

    文章

    54

    浏览量

    14589
收藏 人收藏

    评论

    相关推荐

    FSK调制工作原理

    频移键控的简写,在调制之前通过一个高斯低通滤波器来限制信号的频谱宽度。 6.3 二进制移频键控(2FSK):6.3.1、2FSK信号的时域表
    发表于 03-27 14:38

    【资料分享】基于FPGAFSK调制解器器设计

    的2FSK信号调制解调器,利用m序列的随机性和确定性来产生输入基带信号,用分频器把时钟信号分频成两个不同频率的
    发表于 03-20 16:54

    怎样用FPGA实现FSK调制解调呢?

    最近想做这方面的,怎样用FPGA实现FSK调制解调?但是我一点头绪都没有,哪位高手帮帮忙,讲解一下什么的
    发表于 04-06 14:29

    怎样用FPGA实现FSK调制解调呢?

    最近想做这方面的,怎样用FPGA实现FSK调制解调?但是我一点头绪都没有,哪位高手帮帮忙,讲解一下什么的
    发表于 05-08 17:34

    基于PCI总线的2FSK调制信号检测方法

    针对某C3I 系统2FSK 调制信号的检测,应用PCI 总线技术构建了基于C++ Builder 5 编程平台的2FSK 调制
    发表于 06-13 11:56 17次下载

    什么是fsk调制? fsk调制是什么意思?

    什么是fsk调制fsk调制是什么意思? 数字调频又称移频键控,简记为FSK,它是载波频率随数字
    发表于 04-21 13:13 2.2w次阅读

    fsk调制电路

    fsk调制电路 本图是由74LS74等构成的FSK调制电路。这种频移键控(FSK)调制电路既没
    发表于 04-22 00:24 7635次阅读
    <b class='flag-5'>fsk</b><b class='flag-5'>调制</b>电路

    基于DDS与FPGAFSK调制方式

    在传统的 FSK 调制方式中, 两个载波频率转换期间, 已调信号存在相位和频率突变, 造成系统频带利用率不高和信号频谱衰减太慢。用DDS 进行标准F
    发表于 08-04 15:16 72次下载
    基于DDS与<b class='flag-5'>FPGA</b>的<b class='flag-5'>FSK</b><b class='flag-5'>调制</b>方式

    Quartus II平台下实现全数字FSK调制解调器

    根据数字信号FSK调制和解调的工作原理,采用层次化、模块化方法设计了一种基于FPGA 芯片的FSK调制
    发表于 08-26 15:25 114次下载
    Quartus II平台下<b class='flag-5'>实现</b>全数字<b class='flag-5'>FSK</b><b class='flag-5'>调制</b>解调器

    语音采集及FSK调制的DSP实现

    本文设计了一个基于定点DSP芯片TMS320VC5402的实时语音采集、FSK调制系统。语音信号的采集通过TMS320VC5402的多通道缓冲串口与音频模拟接口芯片TLC320AD50C实现
    发表于 09-27 17:29 74次下载
    语音采集及<b class='flag-5'>FSK</b><b class='flag-5'>调制</b>的DSP<b class='flag-5'>实现</b>

    FPGA实现2FSK数字信号调制解调

    基于FPGA调制和解调的数字信号有多种,包括2ASK、2FSK、2PSK等,文中介绍了2FSK信号
    发表于 03-23 11:17 398次下载
    <b class='flag-5'>FPGA</b><b class='flag-5'>实现</b>2<b class='flag-5'>FSK</b>数字<b class='flag-5'>信号</b><b class='flag-5'>调制</b>解调

    一文看懂MSK调制FSK调制的区别和联系

    本文开始阐述了MSK调制的基本原理,其次介绍了FSK调制的基本原理,最后介绍了MSK调制FSK调制
    的头像 发表于 04-16 08:44 7.7w次阅读
    一文看懂MSK<b class='flag-5'>调制</b>与<b class='flag-5'>FSK</b><b class='flag-5'>调制</b>的区别和联系

    FSK调制技术的MATLAB与FPGA设计

    第三幅图为连续相位FSK调制,也称作CPFSK,可视作振荡频率随基带信号线性变化;第四幅图为非连续相位FSK,在码元转换时有一个相位的跳变,可视作两个ASK
    的头像 发表于 04-26 13:12 4299次阅读

    FSK调制解调方法简析

    FSK通信系统主要由基带数据生成模块(pcm.v)、FSK调制模块(fsk_mod.v) 、FSK解调模块(
    的头像 发表于 03-31 09:07 3406次阅读

    fsk调制解调原理 Fsk调制体制的主要优点

    FSK调制和解调是一种常用的调制解调技术,其中FSK代表频移键控。在FSK调制过程中,数字信息被
    的头像 发表于 02-03 14:28 921次阅读