0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
会员中心
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

Vivado 工具已更新至2020.1.1 v1.30

FPGA之家 来源:FPGA之家 作者:FPGA之家 2020-09-25 14:58 次阅读
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

描述

在《Zynq UltraScale+ MPSoC 数据手册》(DS925) 中,XAZU7EV-1Q 器件与 XAZU11EG-1Q 器件的最低量产软件和速度规格已从 Vivado 工具 2019.1.1 v1.26 更新至 Vivado 工具 2020.1.1 v1.30。

汽车级 Zynq UltraScale+ 器件的速度文件参数在 2020.1.1 版中已更新,纠正了极端情况下的静态时序问题。

更新包括纠正了 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的互连延迟以及速度/温度等级。

解决方案

对于 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/温度等级设计,请使用 Vivado Design Suite 2020.1.1 或更高版本。

对于使用 Vivado 工具 2019.1.1 - 2020.1 构建并已部署的 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件以及速度/温度等级设计,赛灵思认为,根据赛灵思器件特性,在 Vivado 工具 2019.1.1 - 2020.1 中满足时序约束的大部分设计都具有足够的裕度以供在量产器件中正常运行。

您可通过以下方式使用 Vivado 工具 2020.1.1 或更高版本来评估时序问题对于您使用 Vivado 工具 2019.1.1 - 2020.1 所构建的设计产生的影响:在 Vivado 工具 2020.1.1 或更高版本中,对已完全实现的设计检查点 (.dcp) 文件重新运行时序分析。

评估步骤:

如果您的比特流是使用 Vivado 工程模式生成的,则必须找到已完全实现的 .dcp 文件。

通常,已完全实现的 .dcp 文件应位于如下某一路径中,具体取决于布线后是否已启用 phys_opt_design。

project_myDesign.runs/impl_1/myDesign_routed.dcp

project_myDesign.runs/impl_1/myDesign_postroute_physopt.dcp

例如,如果已完全实现的 .dcp 文件为 myDesign_routed.dcp,则上述命令应如下所示:

#Open the final dcp for the finished design open_checkpoint project_myDesign.runs/impl_1/myDesign_routed.dcp #Report timing report_timing_summary -file myDesign_timing_summary_routed.rpt

如果出现时序违例,则必须在 Vivado 工具 2020.1.1 或更高版本中对设计进行重新编译以达成时序收敛。

用户可以重新运行 route_design 步骤,或者也可以重新运行完整的实现过程。

原文标题:面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量产速度文件更新

文章出处:【微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

责任编辑:haq

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • Vivado
    +关注

    关注

    19

    文章

    847

    浏览量

    70479

原文标题:面向 XAZU7EV-1Q 器件和 XAZU11EG-1Q 器件的 Vivado 2020.1.1 量产速度文件更新

文章出处:【微信号:zhuyandz,微信公众号:FPGA之家】欢迎添加关注!文章转载请注明出处。

收藏 人收藏
加入交流群
微信小助手二维码

扫码添加小助手

加入工程师交流群

    评论

    相关推荐
    热点推荐

    MES工具更新信息 04/2025

    MES模赛思很高兴为您带来最新的MES工具更新信息。MXAM、MoRe和MQC的最新版本已经为您下载安装做好了准备。
    的头像 发表于 10-29 11:06 310次阅读
    MES<b class='flag-5'>工具</b><b class='flag-5'>更新</b>信息 04/2025

    vivado仿真时GSR信号的影响

    利用vivado进行设计xilinx FPGA时,写完设计代码和仿真代码后,点击run simulation(启动modelsim进行仿真)。
    的头像 发表于 08-30 14:22 1035次阅读
    <b class='flag-5'>vivado</b>仿真时GSR信号的影响

    MES工具更新信息 03/2025

    MES模赛思很高兴为您带来最新的MES工具更新信息。MXAM、MoRe和MQC的最新版本已经为您下载安装做好了准备。 下面列出了工具更新亮点的简要概述和发行说明。同时也欢迎您查看我们的
    的头像 发表于 07-30 16:42 671次阅读
    MES<b class='flag-5'>工具</b><b class='flag-5'>更新</b>信息 03/2025

    2025电赛题目问答(更新

    2025电赛题目问答(更新
    的头像 发表于 07-30 12:59 4609次阅读
    2025电赛题目问答(<b class='flag-5'>已</b><b class='flag-5'>更新</b>)

    RISC-V 工具链的版本更新、开发动态及生态建设愿景

    RISC-V 软件工具链作为连接 RISC-V 硬件架构与应用软件的核心纽带,其重要性贯穿于芯片设计、软件开发、生态建设乃至产业落地的全链条。从技术实现到生态繁荣,它的成熟度直接决定了 RISC-
    发表于 07-18 11:08 4711次阅读
    RISC-<b class='flag-5'>V</b> <b class='flag-5'>工具</b>链的版本<b class='flag-5'>更新</b>、开发动态及生态建设愿景

    IAR发布RISC-V开发工具v3.40.1版本

    近期,IAR重磅发布其旗舰产品——RISC-V开发工具链的全新版本v3.40.1,全面提升了在性能、安全性及自动化方面的能力。此次重大更新将进一步助力汽车、工业、医疗及物联网等行业打造
    的头像 发表于 06-25 14:16 1813次阅读

    IAR开发平台升级Arm和RISC-V开发工具链,加速现代嵌入式系统开发

    IAR正式发布其旗舰产品的重大更新版本:Arm开发工具v9.70和RISC-V开发工具v3.
    的头像 发表于 06-11 14:28 662次阅读

    如何使用One Spin检查AMD Vivado Design Suite Synth的结果

    本文讲述了如何使用 One Spin 检查 AMD Vivado Design Suite Synth 的结果(以 Vivado 2024.2 为例)。
    的头像 发表于 05-19 14:22 1005次阅读
    如何使用One Spin检查AMD <b class='flag-5'>Vivado</b> Design Suite Synth的结果

    Vivado 2018.3软件的使用教程

    大家好,欢迎来到芯科技FPGA炼狱营地,准备开启我们的伟大征程!正所谓“兵马未动,粮草先行”,战前的准备自是必不可少,在FPGA的漫漫沙场,我们何以入场,何以取胜呢?在这里我们为各位战友准备了vivado 2018.3的使用教程。
    的头像 发表于 04-30 14:14 2861次阅读
    <b class='flag-5'>Vivado</b> 2018.3软件的使用教程

    Vivado HLS设计流程

    为了尽快把新产品推向市场,数字系统的设计者需要考虑如何加速设计开发的周期。设计加速主要可以从“设计的重用”和“抽象层级的提升”这两个方面来考虑。Xilinx 推出的 Vivado HLS 工具可以
    的头像 发表于 04-16 10:43 1359次阅读
    <b class='flag-5'>Vivado</b> HLS设计流程

    一文详解Vivado时序约束

    Vivado的时序约束是保存在xdc文件中,添加或创建设计的工程源文件后,需要创建xdc文件设置时序约束。时序约束文件可以直接创建或添加存在的约束文件,创建约束文件有两种方式:Constraints Wizard和Edit Timing Constraints,在综合后
    的头像 发表于 03-24 09:44 4401次阅读
    一文详解<b class='flag-5'>Vivado</b>时序约束

    安装OpenVINO™工具套件,无法运行是怎么回事?

    安装OpenVINO™工具套件 无法运行行 demo_squeezenet_download_convert_run.bat 和 demo_benchmark.bat 演示。 收到的错误
    发表于 03-05 07:43

    MES工具更新信息 01/2025

    新年伊始,我们很高兴为您带来最新的MES工具更新。MXAM、MoRe和MQC的最新版本已经为您下载安装做好了准备。 下面列出了工具亮点的简要概述和发行说明。同时也欢迎您查看我们的新功能演示视频,我们的开发团队为您详细介绍并演示
    的头像 发表于 02-20 16:28 821次阅读
    MES<b class='flag-5'>工具</b><b class='flag-5'>更新</b>信息 01/2025

    hyper v驱动,Hyper-V驱动安装:驱动更新与优化

        在项目管理中,涉及到众多环节和资源的协调管理,稍有不慎就可能导致项目延误或失败。批量管理工具,如同一位经验丰富的项目经理,为项目的顺利推进提供保障。今天就为大家介绍Hyper-V驱动安装
    的头像 发表于 02-10 10:38 1813次阅读
    hyper <b class='flag-5'>v</b>驱动,Hyper-<b class='flag-5'>V</b>驱动安装:驱动<b class='flag-5'>更新</b>与优化

    hyper v 工具,hyper v 工具有哪些呢

    了全新的变革。今天就为大家介绍hyperv工具有哪些呢。    Hyper-V提供了一系列工具和功能,用于管理和优化虚拟化环境。以下是常见的Hyper-V
    的头像 发表于 01-22 15:54 1249次阅读
    hyper <b class='flag-5'>v</b> <b class='flag-5'>工具</b>,hyper <b class='flag-5'>v</b> <b class='flag-5'>工具</b>有哪些呢