0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

美国泛林宣布与ASML、IMEC合作开发出新的EUV光刻技术 成本大幅降低

半导体动态 来源:快科技 作者:宪瑞 2020-02-29 11:20 次阅读

2月28日,美国泛林公司宣布与ASML阿斯麦、IMEC比利时微电子中心合作开发了新的EUV光刻技术,不仅提高了EUV光刻的良率、分辨率及产能,还将光刻胶的用量最多降至原来的1/10,大幅降低了成本。

泛林Lam Research的名字很多人不清楚,前不久中芯国际宣布的6亿美元半导体设备订单就是购买的泛林的产品

泛林是一家美国公司,也是全球半导体装备行业的巨头之一,与应用材料、KLA科磊齐名,2019年营收95亿美元,在全球半导体装备行业位列第四,仅次于ASML、TEL日本东京电子及KLA。

泛林生产的设备主要是蚀刻机、CVD(化学气相沉积)、清洗、镀铜等设备,其中来自中国市场的客户是第一大来源。

这次取得重大突破的不是半导体装备,而是一项用于EUV光刻图形化的干膜光刻胶技术,而光刻胶是半导体生产中最重要的原料之一,尤其是EUV光刻胶,门槛极高,全球仅有几家公司能产。

泛林表示,全新的干膜光刻胶技术将有助于提高EUV光刻的分辨率、生产率和良率。

泛林集团的干膜光刻胶解决方案提供了显著的EUV光敏性和分辨率优势,从而优化了单次EUV光刻晶圆的总成本。

根据泛林所说,全新的干膜光刻胶应用和显影技术可以实现更低的剂量和更高的分辨率,从而增加生产率并扩大曝光工艺窗口。

此外,通过将原材料的用量降低至原来的五分之一到十分之一,泛林集团的干膜光刻胶技术不仅为客户大幅节省了运营成本,同时还为环境、社会和公司治理提供了一种更加可持续的解决方案。

责任编辑:wv

声明:本文内容及配图由入驻作者撰写或者入驻合作网站授权转载。文章观点仅代表作者本人,不代表电子发烧友网立场。文章及其配图仅供工程师学习之用,如有内容侵权或者其他违规问题,请联系本站处理。 举报投诉
  • 光刻
    +关注

    关注

    7

    文章

    291

    浏览量

    29890
  • IMEC
    +关注

    关注

    0

    文章

    52

    浏览量

    22109
  • EUV
    EUV
    +关注

    关注

    8

    文章

    578

    浏览量

    85581
  • ASML
    +关注

    关注

    7

    文章

    674

    浏览量

    40730
收藏 人收藏

    评论

    相关推荐

    ASML 首台新款 EUV 光刻机 Twinscan NXE:3800E 完成安装

    3 月 13 日消息,光刻机制造商 ASML 宣布其首台新款 EUV 光刻机 Twinscan NXE:3800E 已完成安装,新机型将带来
    的头像 发表于 03-14 08:42 144次阅读
    <b class='flag-5'>ASML</b> 首台新款 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻</b>机 Twinscan NXE:3800E 完成安装

    三星清空ASML股份,11年盈利超16倍

    根据资料显示,在2012年,为了支持ASML EUV光刻机的研发与商用,并获得EUV光刻机的优先供应,在2012年,英特尔、台积电、三星均斥
    的头像 发表于 02-23 17:27 613次阅读

    ASML为什么能在EUV领域获胜?

    在讨论ASML以及为何复制其技术如此具有挑战性时,分析通常集中在EUV机器的极端复杂性上,这归因于竞争对手复制它的难度。
    发表于 01-17 10:46 124次阅读
    <b class='flag-5'>ASML</b>为什么能在<b class='flag-5'>EUV</b>领域获胜?

    纳米电子创新中心Imec与三井化学宣布建立战略合作伙伴关系

    比利时纳米电子创新中心imec和日本化学公司三井化学宣布建立战略合作伙伴关系,将下一代EUV半导体光刻系统的关键组件商业化。
    的头像 发表于 01-08 09:10 374次阅读

    Imec 与三井化学公司签署战略合作协议,将用于 EUV 光刻技术的 CNT 薄膜技术商业化

    宣布建立战略合作伙伴关系,将碳纳米管 (CNT) 基薄膜商业化用于极紫外 (EUV光刻。在此次合作中,三井化学将把
    的头像 发表于 01-04 17:31 231次阅读
    <b class='flag-5'>Imec</b> 与三井化学公司签署战略<b class='flag-5'>合作</b>协议,将用于 <b class='flag-5'>EUV</b> <b class='flag-5'>光刻</b><b class='flag-5'>技术</b>的 CNT 薄膜<b class='flag-5'>技术</b>商业化

    日本Rapidus决定2024年底引入EUV*** 员工赴ASML学习

     Rapidus从2023年开始与ibm、asmlimec合作。三星电子今年的目标是向ibm和asml派遣100名职员,让他们学习先进的半导体
    的头像 发表于 12-06 14:47 344次阅读

    三星希望进口更多ASML EUV***,5年内新增50台

    EUV曝光是先进制程芯片制造中最重要的部分,占据总时间、总成本的一半以上。由于这种光刻机极为复杂,因此ASML每年只能制造约60台,而全球5家芯片制造商都依赖
    的头像 发表于 11-22 16:46 419次阅读

    EUV薄膜容错成本高 成芯片良率的关键

    近20年来,EUV光源、EUV掩模和EUV光刻胶一直是EUV光刻的三大
    的头像 发表于 09-14 09:45 621次阅读
    <b class='flag-5'>EUV</b>薄膜容错<b class='flag-5'>成本</b>高 成芯片良率的关键

    EUV光刻市场高速增长,复合年增长率21.8%

    EUV掩膜,也称为EUV掩模或EUV光刻掩膜,对于极紫外光刻(EUVL)这种先进光刻
    的头像 发表于 08-07 15:55 435次阅读

    今日看点丨小米印度公司将进行业务重组;28nm改40nm?印度要求鸿海Vedanta合资晶圆厂重提申请

    1.ASMLIMEC 宣布共同开发high-NA EUV 光刻试验线   据报道,比利时微电
    发表于 06-30 11:08 961次阅读
    今日看点丨小米印度公司将进行业务重组;28nm改40nm?印度要求鸿海Vedanta合资晶圆厂重提申请

    ASMLIMEC宣布共同开发high-NA EUV光刻试验线

    据悉,签署的谅解备忘录包括在比利时鲁汶设置imec测试线及asml的所有尖端光标及测量设备的服务。最新款0.55 na euv (twinscan exe:5200)、最新款0.33 na e
    的头像 发表于 06-30 09:29 299次阅读

    日本与荷兰签署半导体合作备忘录:采购 ASML ***,加强技术合作

    报道称,ASML 量产尖端半导体工艺所需的 EUV 光刻机。Rapidus 计划利用经产省提供的补贴,采购 EUV 光刻设备。IT之家注意到
    的头像 发表于 06-27 16:08 527次阅读
    日本与荷兰签署半导体<b class='flag-5'>合作</b>备忘录:采购 <b class='flag-5'>ASML</b> ***,加强<b class='flag-5'>技术合作</b>

    ASMLEUV***研发历程

    asmleuv技术开发的领先者。asml公司是半导体领域光刻机生产企业的领头羊,也是全球市场占有率最大的
    的头像 发表于 06-08 09:37 3301次阅读

    一文看懂EUV光刻

    极紫外 (EUV) 光刻系统是当今使用的最先进的光刻系统。本文将介绍这项重要但复杂的技术
    发表于 06-06 11:23 743次阅读
    一文看懂<b class='flag-5'>EUV</b><b class='flag-5'>光刻</b>

    EUV光刻技术优势及挑战

    EUV光刻技术仍被认为是实现半导体行业持续创新的关键途径。随着技术的不断发展和成熟,预计EUV光刻
    发表于 05-18 15:49 1907次阅读
    <b class='flag-5'>EUV</b><b class='flag-5'>光刻</b><b class='flag-5'>技术</b>优势及挑战