0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>HDL语言及源代码>

HDL语言及源代码

电子发烧友网HDL语言及源代码栏目提供全球完整的HDL语言,Verilog HDL,AHDL,VHDL,HDL源代码等FPGA设计技术所需的所有最新行业新闻、产品信息及技术热点方案。
算法与数据结构——接口

算法与数据结构——接口

第三章为算法与数据结构,本文为3.2.3 接口。...

2017-09-19 标签:周立功接口数据结构 8036

单向链表中的存值与存址、数据与p_next分离问题

单向链表中的存值与存址、数据与p_next分离问题

第三章为算法与数据结构,本文为3.2 单向链表中的3.2.1 存值与存址和3.2.2 数据与p_next分离。...

2017-09-19 标签:周立功数据结构程序设计链表 6834

代码又双叕错误,python17个常见失误不仅新手会犯,你也可能会

对初学者来说,想要弄懂Python的某些错误信息还是有困难的,下面罗列了一些常见的运行时错误...

2017-09-19 标签:python错误代码 3268

改变数字硬件设计,实现真正工程化

与VHDL和Verilog一样,SpinalHDL可用于通过定义寄存器和门来描述硬件,SpinalHDL不使用众所周知的事件驱动范式来描述硬件(如VHDL和Verilog),而是使用专为此目的设计的语法。这允许在其声明中区...

2017-08-10 标签:Verilog数字电路智能硬件 2690

HTC第四季度财务报告:净亏损1亿美元 将继续投VR

HTC今日发布了2016年第四季度财报,营收为222亿台币(约合7.2亿美元),同比下滑13.6%。净亏损31亿台币(约合1.0亿美元),而上年同期净亏损34亿台币(约合1.1亿美元)。...

2017-02-15 标签:vr 646

Java和c语言间的差距,谁更有优势

目前开源社区在Java语言的基础上,做了大量的工作,人都说人多力量大,特别是解决服务端的并发的问题,在这点上正好移动互联网的爆发的时机交叉在一起。...

2016-12-12 标签:C语言JAVA 3148

xor的作用及运算公式

xor的作用及运算公式

逻辑加法运算规则如下: 0+0=0, 0∨0=0 0+1=1, 0∨1=1 1+0=1, 1∨0=1 1+1=1, 1∨1=1 从上式可见,逻辑加法有“或”的意义。...

2016-07-25 标签:XOR 4591

Google AOSP 历史上第一次公开支持的社区开发板来自中国深圳

 Linaro Connect Bangkok 2016 今日在泰国曼谷隆重召开,LeMaker(乐美客科技)作为 Linaro LCG 组织成员列席了此次峰会。...

2016-03-08 标签:AndroidAOSP谷歌 2288

Verilog HDL语言的文件调用问题:include使用方法介绍

Verilog HDL语言的文件调用问题:include使用方法介绍

本文简单介绍在使用Verilog HDL语言时文件的调用问题之include使用方法介绍及举例说明,详见本文......

2013-01-24 标签:Verilog源代码预处理 6337

基于FPGA和VHDL的微型打印机控制器的设计和实现

基于FPGA和VHDL的微型打印机控制器的设计和实现

硬件电路以FPGA为中心,实现存储器的接口电路设计,以及对打印机的并口接口电路设计。设计的微型打印机的控制器已经系统调试,该控制器具有较强的移植性,打印机的输入数据是系统存储...

2013-01-22 标签:fpgavhdl打印机控制器控制器 2033

基于EDA技术和VHDL语言的新型智能电子密码锁的设计与实现

本文介绍一种利用 EDA 技术 和 VHDL 语言 ,在 MAX+PLUSⅡ环境下,设计了一种新型的智能密码锁。它体积小、功耗低、价格便宜、安全可靠,维护和升级都十分方便,具有较好的应用前景。...

2013-01-10 标签:edafpgavhdl密码锁电子密码锁 2211

基于VHDL的专用串行通信芯片

基于VHDL的专用串行通信芯片

在通信系统中,通信芯片是整个硬件平台的基础,它不仅完成OSI物理层中的数据发送和接收,还能根据传输方式和协议的不同实现不同的数据校验方式及数据组帧格式。...

2012-12-05 标签:cpldfpgavhdl通信芯片 1518

基于FPGA和VHDL的简易微机的结构分析与应用

随着可编程逻辑器件的广泛应用,为数字系统的设计带来了极大的灵活性,用户可以利用FPGA(现场可编程门阵列)来开发出一个精简指令的CPU,同时对微型计算机的原理及结构进行充分...

2012-11-22 标签:fpgavhdl可编程逻辑器件 1754

基于FPGA和PLL的倍分频时钟的实现

基于FPGA和PLL的倍分频时钟的实现

现今的FPGA设计大多采用时序逻辑,需要时钟网络才能工作,通常情况下,时钟通过外部晶体振荡器产生。虽然大多数情况下使用外部晶振是最好的选择。然而,石英晶振对温度漂移敏感...

2012-11-19 标签:fpgapll分频器晶体振荡器 10375

UART 16倍频采样的VHDL实现

电子发烧友网核心提示 :随着电子设计自动化(EDA)技术的发展,可编程逻辑器件FPGA/CPLD已经在许多方面得到了广泛应用,而UART(通用异步收发器) 是在数字通信和控制系统中广泛使...

2012-10-15 标签:cpldedafpgauartVHDL源代码 3667

7段译码器的Verilog HDL源代码

电子发烧友网核心提示: 本例程是Verilog HDL源代码:关于基本组合逻辑功能中7段译码器的功能实现源代码。注意:程序运行在不同软件平台可能要作一些修改,请注意阅读程序中的注释...

2012-10-15 标签:HDL源代码Verilog HDL译码器 22520

二进制到格雷码转换的Verilog HDL源代码

电子发烧友网核心提示: 本例程是Verilog HDL源代码:关于基本组合逻辑功能中二进制到格雷码转换的功能实现源代码。注意:程序运行在不同软件平台可能要作一些修改,请注意阅读程...

2012-10-15 标签:HDL源代码Verilog HDL 3571

二进制到BCD码转换的Verilog HDL源代码

电子发烧友网核心提示: 本例程是Verilog HDL源代码:关于基本组合逻辑功能中二进制到BCD码转换的功能实现源代码。注意:程序运行在不同软件平台可能要作一些修改,请注意阅读程序...

2012-10-15 标签:HDL源代码Verilog HDL 6576

多路选择器(MUX)功能实现Verilog HDL源代码

电子发烧友网核心提示: 本例程是Verilog HDL源代码:关于基本组合逻辑功能中多路选择器(MUX)的功能实现源代码。注意:程序运行在不同软件平台可能要作一些修改,请注意阅读程序...

2012-10-15 标签:HDL源代码Verilog HDL 21712

基本组合逻辑功能双向管脚的Verilog HDL源代码

电子发烧友网核心提示: 本例程是Verilog HDL源代码:关于基本组合逻辑功能中双向管脚的功能实现源代码。 Verilog HDL: Bidirectional Pin This example implements a clocked bidirectional pin in Verilog HDL....

2012-10-15 标签:HDL源代码Verilog HDL 1496

HDL初学者谨记:学习HDL前必知

电子发烧友网核心提示: 对于初学者而言,在学硬件描述语言(HDL)之前一定要注意以下几点。算是电子发烧友网小编给HDL语言初学者的一点小小提示吧,希望对初学者起到一些指引作...

2012-10-15 标签:fpgaHDL硬件描述语言 2524

硬件描述语言HDL的典型代表

电子发烧友网核心提示 :目前,硬件描述语言(HDL)可谓是百花齐放,有VHDL、Superlog、Verilog、SystemC、Cynlib C++、C Level等等。电子发烧友网小编今天就带大家一起来了解下几种具有代表...

2012-10-15 标签:AHDLHDLVerilog HDLvhdl硬件描述语言 4036

硬件描述语言(HDL)概述

电子发烧友网核心提示 :硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。 利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具体)逐层描述自己的设...

2012-10-15 标签:HDLVerilog HDLvhdl 3192

PLD设计速成(6)-编译和布线

PLD设计速成(6)-编译和布线

我们先要指定所用芯片的型号, 菜单:Assign-Device 如下图 将弹出一窗口 (注意把show only fastest speed grades前的钩去掉,否则看不到EPM7128SLC84-15) 在Debice Family 中选择MAX7000S DEVICE选择EPM7128SLC...

2012-05-18 标签:PLD芯片VHDL语言三人表决器 2805

PLD设计速成(8)-下载验证

PLD设计速成(8)-下载验证

( 注意:本次实验采用的JX002B实验板已经将下载电缆的电路都做在了实验板上,所以只需要一根并口延长线即可,实际使用中一般都使用标准的下载电缆(ByteblasterMV、ByteblasterII)和并口相...

2012-05-18 标签:PLD芯片VHDL语言三人表决器 2089

PLD设计速成(7)-安装下载电缆的驱动程序

PLD设计速成(7)-安装下载电缆的驱动程序

MAX plusII 软件的驱动设置 在Win98下运行MAX plusII可以自动检测到ByteBlasterMV(ByteBlaster)下载电缆,但在WIN2000、WINXP下无法自动检测到它的存在。如何在WIN2000和WINXP平台下安装使用ByteBlasterMV并...

2012-05-18 标签:PLD芯片VHDL语言三人表决器 2431

PLD设计速成(4)-采用VerilogHDL输入三人表决器

PLD设计速成(4)-采用VerilogHDL输入三人表决器

下面仅把和VHDL不同的详细写下,相同或基本相同的就一带而过: (1)打开MAX plusII (2)新建 新建一个verilog-HDL文件(Text Editor File类型) (3)输入设计文件 其中SW12,SW13,SW23为中间变量 module m...

2012-05-18 标签:PLD芯片VHDL语言三人表决器 13360

PLD设计速成(3)-采用VHDL设计输入三人表决器

PLD设计速成(3)-采用VHDL设计输入三人表决器

打开MAX plusII,在开始菜单内选择MAX PLUS II 项,开始运行MAX PLUS II(如下图) 你最好把图标放到桌面上,以后直接双击MAX PLUS II图标就可以运行软件了 在MAX PLUSII上点右键,选择发送到-桌面快...

2012-05-18 标签:PLD芯片VHDL语言三人表决器 13747

PLD设计速成(5)-波形仿真

PLD设计速成(5)-波形仿真

此过程主要是用软件来仿真你的设计,看看结果是否符合你的设计要求 编译好以后,打开波形编辑器,MAX PLUSII-Waveform Editor 载入端口,Node-Enter Nodes from SNF 将弹出下面窗口,你按下面步骤...

2012-05-18 标签:PLD芯片VHDL语言三人表决器 1345

PLD设计速成(1)

今天我们将带领大家完成你的第一个PLD设计,即使你从没有接触过PLD,也可以让你可以在十分种之内初步学会PLD设计! 不信? 呵呵 我们慢慢往下看。 实验目的 我们分别采用VHDL、Verilog...

2012-05-18 标签:PLD芯片VHDL语言三人表决器 1077

编辑推荐厂商产品技术软件/工具OS/语言教程专题