0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>FPGA外设/外围电路>

FPGA外设/外围电路

电子发烧友网FPGA外设/外围电路栏目提供全球最前沿最新fpga外围电路,plc外围电路,fpga电路等与FPGA设计所需的所有最新行业新闻、产品信息及技术热点方案。
基于紫光FPGA的CAN控制器系统架构

基于紫光FPGA的CAN控制器系统架构

通过用户接口管理配置,控制CAN寄存器的寻址。向位时序模块、can发送模块和can接收模块提供配置信息和操作指令,并接收来自can接收模块的状态信息。...

2024-04-10 标签:FPGACAN串行通信CAN控制器紫光 41

【FPGA】SRIO IP核系统总览以及端口之Messaging Port介绍

【FPGA】SRIO IP核系统总览以及端口之Messaging Port介绍

消息传递端口是可选接口(消息也可以组合到I / O端口上,并使用Vivado集成设计环境(IDE)设置视为写入事务)。单独的Messaging端口遵循Initiator / Target样式。...

2023-10-10 标签:FPGA接收机Vivado 629

FPGA设计之Verilog中clk为什么要用posedge而不用negedge?

FPGA设计之Verilog中clk为什么要用posedge而不用negedge?

Verilog是一种硬件描述语言,用于描述数字电路的行为和特性。在Verilog中,时钟信号(clk)和线路是非常重要的,它用于同步电路中的各个模块,确保它们在同一时刻执行。...

2023-10-10 标签:同步电路FPGA芯片时钟信号CLKVerilog语言 1142

等效时间采样原理及基于FPGA的实现

等效时间采样原理及基于FPGA的实现

经常涉及对宽带模拟信号进行数据采集和存储,以便计算机进一步进行数据处理。为了对高速模拟信号进行不失真采集,根据奈奎斯特定理, 采样频率必须为信号频率的2 倍以上,但在电阻抗多...

2023-09-15 标签:FPGAadc数据采集fifo等效时间采样 1137

聊聊寄存器被优化的2种情况

聊聊寄存器被优化的2种情况

在项目初期,在使用FPGA工具quartus或者vivado生成版本烧入开发板进行调试时(DC开启优化选项后同样会优化掉寄存器),我们有时会发现部分寄存器被优化掉了,今天简单聊聊被优化的几种情况...

2023-09-08 标签:FPGA驱动器寄存器CSRVivado 1266

如何在FPGA上快速搭建以太网?

如何在FPGA上快速搭建以太网?

LWIP 是使用裸机设计以太网的良好起点,在此基础上我们可以轻松调整软件应用程序以提供更详细的应用程序。LWIP Echo 服务器的使用首先使我们能够确定底层硬件设计是否正确。...

2023-09-08 标签:FPGAFPGA设计以太网DDRTCLLwIP 645

FPGA数字图像显示原理与实现设计

FPGA数字图像显示原理与实现设计

视频图像经过数十年的发展,已形成了一系列的规范,以VGA和HDMI为主的视频图像接口协议也得到定义与推广。...

2023-09-06 标签:FPGA设计VGA编解码芯片同步控制器Type-C接口 611

采用单芯片加密设计流程的PolarFire FPGA器件

安全当前已成为各垂直市场所有设计的当务之急。今天,有进一步证据向系统架构师和设计人员证明,使用Microchip Technology Inc.(美国微芯科技公司)的PolarFire® FPGA 可有力保障通信、工业、航空...

2023-09-05 标签:FPGA设计加速器单芯片DPA生成器 922

如何实现一种基于FPGA的奇偶校验器设计?

如何实现一种基于FPGA的奇偶校验器设计?

奇偶校验是一种简单、实现代价小的检错方式,常用在数据传输过程中。对于一组并行传输的数据(通常为8比特),可以计算岀它们的奇偶校验位并与其一起传输。...

2023-09-05 标签:FPGAFPGA设计计数器时钟奇偶校验器时钟信号XOR 462

怎样使用CORDIC算法求解角度正余弦呢?

怎样使用CORDIC算法求解角度正余弦呢?

CORDIC(Coordinate Rotation Digital Computer)算法即坐标旋转数字计算方法,是J.D.Volder1于1959年首次提出,主要用于三角函数、双曲线、指数、对数的计算。...

2023-08-31 标签:FPGA寄存器向量机CORDICCORDIC算法 1182

FPGA实现ISP常见2D去噪的方法

FPGA实现ISP常见2D去噪的方法

ISP中通常包括对图像的去噪,英文名称为Image Denoising。是指的对数字图像中的噪声进行消除或减少的过程。...

2023-08-30 标签:处理器FPGA滤波器仿真器高斯滤波器 906

​怎样通过莱迪思Insights简化FPGA设计和开发

人工智能和机器学习的持续发展正在重塑生活方式和工作场所,现在随着基础模型和生成式人工智能(AI)的出现,这种重塑更为明显。...

2023-08-29 标签:人工智能嵌入式处理器机器学习FPGA芯片FPGA芯片三态缓冲器人工智能嵌入式处理器机器学习 235

一种支持AI视频处理的高容量流媒体加速卡方案

一种支持AI视频处理的高容量流媒体加速卡方案

本次要和大家分享的是AMD近期推出的新一代多媒体视频加速卡,它主要应用于视频处理场景,我们内部将它称为异构加速卡,行业同仁更认可将其称作视频处理单元(VPU)。...

2023-08-28 标签:FPGA加速器编解码器视频处理器LPDDR5 829

有什么技巧可以让一硬件板成功呢?

做数字硬件的同学,可能有时候会因为一点小细节,导致板子总是这边出点小错,那边出点小错。...

2023-08-28 标签:FPGAbankbankFPGA飞线 503

EDA程序设计—出租车计费器

EDA程序设计—出租车计费器

用EDA实训仪的I/O设备和FPGA实现出租车计费器的设计。...

2023-08-25 标签:FPGA设计led数码管分频器EDA设计计费器 1211

如何设计并实现一个基于FPGA的多功能信号发生器?

如何设计并实现一个基于FPGA的多功能信号发生器?

多功能信号发生器的原理框图如图所示。其中,CLKGEN是分频器,提供的50MHz的主频率进行分频,以得到满足多功能信号发生器设计需要的时钟频率。...

2023-08-25 标签:存储器分频器信号发生器EDA设计FPGA开发板 1327

如何实现一种EEPROM驱动设计?

如何实现一种EEPROM驱动设计?

EEPROM (Electrically Erasable Programmable read only memory)是指带电可擦可编程只读存储器。...

2023-08-24 标签:FPGAFPGA设计存储器存储EEPROM存储芯片只读存储器 364

riscv的fpga实现案例  基于RISC-V加速器实现现场可编程门阵列 CNN异构的控制方案

riscv的fpga实现案例 基于RISC-V加速器实现现场可编程门阵列 CNN异构的控制方案

现场可编程门阵列(FPGA)具有低功耗、高性能和灵活性的特点。FPGA神经网络加速的研究正在兴起,但大多数研究都基于国外的FPGA器件。为了改善国内FPGA的现状,提出了一种新型的卷积神经网...

2023-08-21 标签:FPGA加速器神经网络cnnRISC-V 1860

SRAM型FPGA的抗辐照加固设计

SRAM型FPGA的抗辐照加固设计

让一颗SRAM型FPGA在太空长期稳定运行的难度,就类似练成独孤九剑的难度。...

2023-08-15 标签:dsp触发器SRAM存储器FPGA开发板dff 2116

如何用FPGA XADC来获取几个模拟信号的信息呢?

如何用FPGA XADC来获取几个模拟信号的信息呢?

Xilinx 7系列FPGA全系内置了一个ADC,称呼为XADC。...

2023-08-15 标签:控制器模拟器ADC采样FPGA开发板XADC 746

介绍一个使用FPGA做的开源示波器

其实用FPGA做的示波器有很多,开源的相对较少,我们今天就简单介绍一个使用FPGA做的开源示波器:...

2023-08-14 标签:示波器DDR3缓冲器Linux系统FPGA开发板 907

时钟偏移对时序收敛有什么影响呢?

时钟偏移对时序收敛有什么影响呢?

FPGA设计中的绝大部分电路为同步时序电路,其基本模型为“寄存器+组合逻辑+寄存器”。同步意味着时序路径上的所有寄存器在时钟信号的驱动下步调一致地运作。...

2023-08-03 标签:FPGAFPGA设计寄存器时钟同步电路时钟偏移 947

京微齐力蝉联2022-2023年度(第六届)中国IC独角兽企业

京微齐力蝉联2022-2023年度(第六届)中国IC独角兽企业

由赛迪顾问股份有限公司和北京芯合汇科技有限公司联合主办的“2022-2023年度第六届中国IC独角兽”颁奖典礼在六朝古都南京圆满结束。根据评审组合议,在300余家推荐企业中,共遴选出36家中...

2023-07-25 标签:FPGA集成电路IC异构计算京微齐力 1033

2023年第一届证券基金行业先进计算峰会在沪成功召开

2023年第一届证券基金行业先进计算峰会在沪成功召开

2023年7月7日,在中国计算机学会集成电路设计专委会、中国通信学会金融科技发展促进中心、中国电子工业标准化技术协会新一代计算标准工作委员会和证券基金信息技术创新联盟WG1工作组的指...

2023-07-08 标签:FPGADPU龙芯中科算力中科驭数先进计算DPUFPGA中科驭数先进计算算力龙芯中科 722

基于FPGA的OLED动态显示(温湿度实时数据)

基于FPGA的OLED动态显示(温湿度实时数据)

从视频中,可以看到,当手指捏住传感器后,OLED屏上的温湿度数据发生变化。...

2023-06-19 标签:传感器dspFPGAOLED动态显示 1749

FPGA和外围接口总结

FPGA和外围接口总结

FPGA和外围接口-基础版...

2023-05-22 标签:FPGA接口 584

IIC总线的FPGA实现原理及过程

IIC总线的FPGA实现原理及过程

IIC总线的FPGA实现原理及过程 IIC总线概述 IIC开发于1982年,当时是为了给电视机内的CPU和外围芯片提供更简易的互连方式。电视机是早的嵌入式系统之一,而初的嵌入系统是使用内存映射(memo...

2023-05-15 标签:FPGAcpu时钟IICIIC总线 1616

基于FPGA的cy7c68013a双向通信教程

基于FPGA的cy7c68013a双向通信教程

本教程是基于FPGA的cy7c68013a的USB双向通信实验。...

2023-03-09 标签:FPGAusbCypressCY7C68013A编写双向通信 4039

FPGA教程:通过Mojo开发板介绍FPGA

FPGA教程:通过Mojo开发板介绍FPGA

迄今为止,我们的嵌入式系统教程和项目已经使用了多块微控制器开发板,比如不同型号的Arduino微控制器板。...

2023-02-27 标签:微控制器FPGAEmbedded开发板Arduino 3840

FPGA的Block RAM级联架构给AI/ML带来超高数据流通量

FPGA的Block RAM级联架构给AI/ML带来超高数据流通量

随着数据中心、人工智能、自动驾驶、5G、计算存储和先进测试等应用的数据量和数据流量不断增大,不仅需要引入高性能、高密度FPGA来发挥其并行计算和可编程硬件加速功能,而且还对大量数...

2022-07-06 标签:FPGAAIMLAchronix 814

编辑推荐厂商产品技术软件/工具OS/语言教程专题