0
  • 聊天消息
  • 系统消息
  • 评论与回复
登录后你可以
  • 下载海量资料
  • 学习在线课程
  • 观看技术视频
  • 写文章/发帖/加入社区
创作中心

完善资料让更多小伙伴认识你,还能领取20积分哦,立即完善>

3天内不再提示

电子发烧友网>可编程逻辑>HDL语言及源代码>

HDL语言及源代码

电子发烧友网HDL语言及源代码栏目提供全球完整的HDL语言,Verilog HDL,AHDL,VHDL,HDL源代码等FPGA设计技术所需的所有最新行业新闻、产品信息及技术热点方案。

三人表决器:VHDL源代码

--三人表决器(三种不同的描述方式) vhdl -- Three-input Majority Voter -- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways. ENTITY maj IS PORT(a,b,c : IN...

2012-05-18 标签:VHDL源代码VHDL语言三人表决器 20845

PLD设计速成(2)-采用原理图设计三人表决器

PLD设计速成(2)-采用原理图设计三人表决器

我们根据三人表决器的直值表,可以通过 卡诺图 化简可以得到: L2=SW1SW2 SW1SW3 SW2SW3 L1=_L2 那么我们可以在MAX plusII中用原理图实现上面的三人表决器 下面仅把和 VHDL 不同的详细写下,相...

2012-05-18 标签:PLD芯片VHDL语言三人表决器 8212

BJ-EPM CPLD开发板:VHDL入门例程5

该程序实现功能:16位无符号数的乘法运算...

2012-05-16 标签:cpldvhdlVHDL源代码 3149

BJ-EPM CPLD开发板:VHDL入门例程4

该程序实现功能:2位数码管每隔640ms从0-F循环递增显示...

2012-05-16 标签:cpldvhdlVHDL源代码数码管 2199

BJ-EPM CPLD开发板:VHDL入门例程3

该程序实现功能:三个独立按键控制四个LED流水灯工作/停止或者左移/右移 ...

2012-05-16 标签:cpldvhdlVHDL源代码 2557

BJ-EPM CPLD开发板:VHDL入门例程2

程序实现功能:三个独立按键控制LED灯亮灭...

2012-05-16 标签:cpldvhdlVHDL源代码分频计数器 1403

BJ-EPM CPLD开发板:VHDL入门例程1

本程序实现功能: 分频计数器,50MHz时钟做分频后的50%占空比方波驱动蜂鸣器发声 ...

2012-05-16 标签:cpldvhdlVHDL源代码分频计数器 1395

Verilog HDL与VHDL及FPGA的比较分析

Verilog HDL与VHDL及FPGA的比较分析. Verilog HDL优点:类似C语言,上手容易,灵活。大小写敏感。在写激励和建模方面有优势。...

2011-01-11 标签:fpgaVerilog HDLvhdl 1123

VHDL和Verilog HDL语言对比

VHDL和Verilog HDL语言对比 Verilog HDL和VHDL都是用于逻辑设计的硬件描述语言,并且都已成为IEEE标准。VHDL是在1987年成为IEEE标准,Verilog HDL...

2010-02-09 标签:HDLVerilogvhdl 10262

Verilog HDL语言简介

Verilog HDL语言简介 1.什么是Verilog HDLVerilog HDL是硬件描述语言的一种,用于数...

2010-02-09 标签:Verilog 3489

VHDL语言的组合电路设计

实验八、VHDL语言的组合电路设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的组合电路设计方法。二  实验设备与仪器...

2009-03-13 标签:vhdlVHDL语言 2328

VHDL并行语句(生成语句)使用练习

VHDL并行语句(生成语句)使用练习

实验七、VHDL并行语句(生成语句)使用练习一  实验目的1掌握VHDL语言的基本描述语句的使用方法。2掌握VHDL语言的生成语句的使用方法。二  实...

2009-03-13 标签:vhdlVHDL语言 2449

VHDL的基本描述语句设计

实验六、VHDL的基本描述语句设计一  实验目的1掌握VHDL语言的基本结构及设计的输入方法。2掌握VHDL语言的基本描述语句的使用方法。二  实验设备...

2009-03-13 标签:vhdlVHDL语言 1985

DAC0832 接口电路程序

DAC0832 接口电路程序见随书所附光盘中文件:DAC0832VHDL程序与仿真。--文件名:...

2008-06-27 标签:DAC0832接口电路 2132

TLC7524接口电路程序

TLC7524接口电路程序--文件名:TLC7524.VHD--功能:产生156.25KHz的正弦波。--最后修改日期:2004.3.18。library ieee;use ieee.std_logic_arith.all;...

2008-06-27 标签:TLC7524接口电路 1139

编辑推荐厂商产品技术软件/工具OS/语言教程专题